首页> 外文会议>42th Annual International Symposium on Computer Architecture >Page overlays: An enhanced virtual memory framework to enable fine-grained memory management
【24h】

Page overlays: An enhanced virtual memory framework to enable fine-grained memory management

机译:页面覆盖:增强的虚拟内存框架,可实现细粒度的内存管理

获取原文
获取原文并翻译 | 示例

摘要

Many recent works propose mechanisms demonstrating the potential advantages of managing memory at a Vne (e.g., cache line) granularity—e.g., fine-grained deduplication and Vne-grained memory protection. Unfortunately, existing virtual memory systems track memory at a larger granularity (e.g., 4 KB pages), inhibiting eXcient implementation of such techniques. Simply reducing the page size results in an unacceptable increase in page table overhead and TLB pressure.
机译:许多最新的工作提出了一些机制,这些机制证明了以Vne(例如高速缓存行)粒度管理内存的潜在优势,例如细粒度的重复数据删除和Vne粒度的内存保护。不幸的是,现有的虚拟存储器系统以较大的粒度(例如4KB页面)跟踪存储器,从而阻碍了这种技术的有效实施。仅减小页面大小会导致页面表开销和TLB压力增加到无法接受的程度。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号