首页> 中文学位 >基于system verilog的数据处理芯片的加密模块的功能验证
【6h】

基于system verilog的数据处理芯片的加密模块的功能验证

代理获取

目录

封面

声明

中文摘要

英文摘要

目录

第1章 绪论

1.1 课题背景和研究意义

1.2 功能验证的发展状况

1.3 本文的主要工作以及章节安排

第2章 功能验证概述

2.1 功能验证的途径

2.2 功能验证的基本流程

2.3 VMM验证方法学

2.4本章小结

第3章 网络数据处理芯片加密模块

3.1 网络数据处理芯片加密模块

3.2 加密模块的接口信号和输入信号

3.3 验证的挑战

3.4 验证策略

3.5本章小结

第4章 功能验证平台设计

4.1 测试点的提取

4.2 加密引擎验证平台

4.3 加密模块验证平台

4.4 接口

4.5 验证环境介绍

4.6本章小结

第5章 功能仿真及结果分析

5.1 加密模块的功能仿真

5.2 回归测试

5.3 覆盖率分析

5.4 FPGA 原型验证

第六章 总结与展望

6.1 总结

6.2展望

致谢

参考文献

附 录

作者在读期间发表的学术论文及授权专利

附录A:加密模块的约束的详细代码

附录B:AES-GCM加密算法的log文件

展开▼

摘要

在信息技术大爆炸的今天,效率成为一个企业成败的关键。随着芯片功能复杂度的增加,芯片的规模也越来越大,基于IP(Intellectual Property)的SOC(System On Chip)设计方法应运而生。采用IP技术可以提高设计效率,缩短芯片的开发周期,而基于IP的SOC芯片的功能验证也是芯片开发过程中至关重要的环节,占用芯片开发周期的70%左右。事实证明,芯片第一次流片不成功的主要原因就是存在功能缺陷。虽然传统的验证方法尽力保持技术更新以适应设计尺寸以及复杂度的增加,但验证仍然是当今集成电路设计中面临的最大挑战。硬件描述语言用于寄存器传输级的设计,而验证语言需要更加抽象的性能,这样就造成了硬件描述语言与硬件验证语言的分向发展,从而使整个设计和验证的过程变得复杂。因此,探讨一种高效的功能验证方法,缩短验证的时间以及确保验证的完备性,成为验证面临的主要问题。
  本文验证的对象是数据处理芯片中的加密模块,该芯片采用基于IP的SOC设计方法。其中的加密算法模块部分采用之前项目中的IP,外加一些控制电路和接口,可以实现多种加密算法。在本文中,首先对加密模块进行介绍,然后根据加密模块的特点,采用自底向上的验证方法,先对加密引擎单元逐一进行验证,然后对整个加密模块进行验证。验证过程采用基于System Verilog的VMM(Verification Methodology Manual)验证方法学,为加密引擎设计了一个高效的、自动化的、可重用的验证平台,此验证平台是一种基于事务的层次化结构,并详细讲述了验证平台中重要组件的实现方法。加密引擎验证平台中的一些验证组件可以在加密模块的验证平台中重用。使用VMM标准库提供的函数,结合perl脚本语言以及makefile简化了仿真的过程,这也大大提高了验证的效率。加密模块激励的开发采用覆盖率驱动的约束随机激励,约束随机自动产生测试用例,使验证变得自动化,并能发现验证人员考虑不到的错误。通过覆盖率的分析对设计的功能对象进行全面检查,之后给出加密模块的仿真过程及其结果。仿真完成后在FPGA(Field Programmable Gata Array)板子上进行了快速原型验证。研究结果表明,软件仿真验证和FPGA调试验证均通过,达到了功能规格的要求,可以转入流片流程。实践证明了验证平台的可行性,高效性及自动化的特点。目前芯片已在流片流程之中。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号