首页> 外文学位 >A statistical approach to contention modeling for high-level heterogeneous multiprocessor simulation.
【24h】

A statistical approach to contention modeling for high-level heterogeneous multiprocessor simulation.

机译:一种用于高级异构多处理器仿真的竞争建模的统计方法。

获取原文
获取原文并翻译 | 示例

摘要

Single chip systems featuring multiple heterogeneous processors and a variety of communication and memory architectures have emerged to satisfy the demand for networking, handheld computing, and other custom devices. The complex interactions between applications, schedulers, and processor resources, along with the resulting contention delays for shared busses and memories, are the chief limitation against raising the modeling abstraction level above the clock cycle. Without raising the simulation abstraction level, multiprocessor simulations are slow to build and execute, severely limiting the number of design iterations that can be considered, thus restricting the design space that can be explored.; This work introduces a new level of design which we call the Stochastic Contention Level (SCL). Instead of considering shared resource accesses at the clock cycle granularity, SCL simulations operate on blocks that are thousands to millions of clock cycles long, summarizing the stochastic behavior of large groups of shared resource accesses throughout the time period. The SCL idea is enabled by three key contributions: merging of an analytical stochastic model with discrete event simulation, the parameterization of shared resource access patterns used for contention modeling, and the method for predicting when to retrain the contention model during the design exploration process.; The first contribution of the SCL design is its integration of a purely analytical stochastic model within a discrete event simulation framework. By merging these two historically independent modeling techniques, we develop a novel simulation technique that does not rely on the clock cycle abstraction to capture performance impacts of contention. Unlike the previous analytical and statistical simulation methods that capture average performance over time, the SCL simulation strategy identifies time-related contention bottlenecks within the system and can capture system response time to arriving jobs and events.; Central to the realization of the SCL design is the parameterization of shared resource access patterns in the form of access attributes , defining a statistical regression relationship between shared resource access patterns and the resulting contention. By sampling contention information from a short cycle accurate simulation, access attributes train the statistical contention model specifically for the control flow behavior of the currently executing applications. Applying the trained model to a high-level event-based simulation results in significant performance advantages; heterogeneous multiprocessor systems can be simulated on average 40X faster than with cycle accurate simulators with accuracy penalties of less than 1%.; Another contribution of the SCL approach is the Error Prediction Model (EPM), which is a regression model quantifying the contention model sensitivity to various system changes, providing the ability to predict statistical model error to within an average of 5%. Using the EPM, the designer can determine the impact of the design change on model error, significantly decreasing the need for statistical model retraining during the design exploration process. The EPM can operate with the data collected directly from the high-level simulation and does not need detailed cycle accurate simulation in order to make good retraining recommendations.; The SCL approach is applied for a design exploration process of a personal digital assistant system. The PDA example features multiple concurrent applications vying for shared memory, where the designer is tasked with reducing the overall system response time by adding/subtracting processors, adjusting shared bus speed, or adding local memories. By raising the abstraction level of contention modeling, the SCL design approach reduced design exploration time of the PDA example from over a week to only several hours. This significant increase in simulation performance enables more design ite
机译:已经出现了具有多个异构处理器以及各种通信和存储器架构的单芯片系统,以满足对网络,手持计算和其他定制设备的需求。应用程序,调度程序和处理器资源之间的复杂交互以及共享总线和内存的争用延迟是将建模抽象级别提高到时钟周期以上的主要限制。在不提高仿真抽象水平的情况下,多处理器仿真的构建和执行速度很慢,从而严重限制了可以考虑的设计迭代次数,从而限制了可以探索的设计空间。这项工作引入了一个新的设计级别,我们称为随机竞争级别(SCL)。 SCL仿真不是在时钟周期粒度上考虑共享资源访问,而是在数千到数百万个时钟周期长的块上运行,从而总结了整个时间段内大批共享资源访问的随机行为。 SCL的想法由三个主要贡献来实现:将分析性随机模型与离散事件仿真合并,用于争用建模的共享资源访问模式的参数化以及预测在设计探索过程中何时重新训练争用模型的方法。 ; SCL设计的第一个贡献是它在离散事件仿真框架中集成了纯分析随机模型。通过合并这两个历史上独立的建模技术,我们开发了一种新颖的仿真技术,该技术不依赖时钟周期抽象来捕获竞争对性能的影响。与以前的分析和统计仿真方法不同,SCL仿真策略可以识别一段时间内的平均性能,而SCL仿真策略可以识别系统内与时间相关的竞争瓶颈,并且可以捕获系统对到达的作业和事件的响应时间。实现SCL设计的核心是以访问属性的形式对共享资源访问模式进行参数化,以定义共享资源访问模式与结果竞争之间的统计回归关系。通过从短周期的精确模拟中采样竞争信息,访问属性可以专门针对当前执行的应用程序的控制流行为来训练统计竞争模型。将训练后的模型应用于基于事件的高级仿真中,可以显着提高性能;异构多处理器系统的仿真速度平均比周期精确仿真器快40倍,而精度损失低于1%。 SCL方法的另一个贡献是错误预测模型(EPM),它是一种量化竞争模型对各种系统变化的敏感性的回归模型,能够将统计模型误差平均预测在5%之内。使用EPM,设计人员可以确定设计变更对模型误差的影响,从而大大减少了在设计探索过程中对统计模型进行重新训练的需求。 EPM可以使用直接从高级仿真中收集的数据进行操作,不需要详细的周期精确仿真即可提出良好的再培训建议。 SCL方法适用于个人数字助理系统的设计探索过程。 PDA示例具有多个并发应用程序争夺共享内存的情况,其中设计人员的任务是通过添加/减去处理器,调整共享总线速度或添加本地内存来减少总体系统响应时间。通过提高竞争模型的抽象水平,SCL设计方法将PDA示例的设计探索时间从一周以上减少到只有几个小时。仿真性能的显着提高可实现更多的设计

著录项

  • 作者

    Bobrek, Alex.;

  • 作者单位

    Carnegie Mellon University.;

  • 授予单位 Carnegie Mellon University.;
  • 学科 Engineering Electronics and Electrical.
  • 学位 Ph.D.
  • 年度 2007
  • 页码 158 p.
  • 总页数 158
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类 无线电电子学、电信技术;
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号