首页> 中文期刊> 《计算机工程与科学》 >基于占用率的体系结构脆弱因子在线计算方法

基于占用率的体系结构脆弱因子在线计算方法

         

摘要

随着CMOS工艺的不断进步,单个芯片上集成的晶体管数目快速增长,使得由高能粒子和α粒子辐射产生的软错误逐渐成为影响微处理器可靠性的重要因素.通过计算体系结构脆弱因子,量化分析软错误对微处理器中不同结构的影响,已成为指导微处理器容错设计、提高系统可靠性的重要方法.体系结构脆弱因子在线计算方法,利用体系结构脆弱因子随应用程序运行而变化的特点,指导动态选择容错技术,实现可靠性与性能之间的平衡.在对已有方法分析的基础上,提出一种基于占用率的体系结构脆弱因子在线计算方法,并在Sim-Alpha模拟器上进行验证.通过运行SPEC CPU2000基准测试程序,计算发射队列、重命名缓冲和存取队列的体系结构脆弱因子.实验结果表明,该方法能有效计算微处理器中不同结构的体系结构脆弱因子,与离线计算方法相比,发射队列、重排序缓存和存取队列三个体系结构脆弱因子的平均绝对误差仅为0.10、0.01和0.039.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号