首页> 中文期刊> 《微电子学与计算机》 >基于VHDL-AMS的流水线ADC结构式建模方法与仿真

基于VHDL-AMS的流水线ADC结构式建模方法与仿真

         

摘要

目前很多模数转换器(ADC)缺乏仿真模型,为了大型模数混合信号系统建模与仿真的需要,提出一种基于VHDL模拟混合信号扩展(VHDL Analog and Mixed-Signal Extensions,VHDL-AMS)的流水线ADC结构式建模方法.以多比特位每级的12位分辨率、10 MSPS流水线ADC作为建模对象,根据流水线ADC的结构特征,在考虑非理想因素误差情况下,分别建立采样保持放大和乘法数模转换器的VHDL-AMS子模型,然后通过例化建立顶层流水线ADC的结构模型.通过SystemVision和Simulink联合仿真,得到静态性能参数微分非线性度和积分非线性度均小于1LSB,动态性能参数无杂散动态范围94.941 7dB,总谐波失真-94.941 9dB,信噪比58.754 4dB,验证了所提建模方法合的理与有效.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号