首页> 中文期刊> 《现代电子技术》 >基于串行法的新型EDA优化技术的研究

基于串行法的新型EDA优化技术的研究

         

摘要

EDA代表了当今电子设计技巧的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开端设计电子系统,大批工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的全部过程在计算机上主动处理完成。但EDA技术在优化方式上,却存在着一定的弱势,因此提出串行法新型优化方式,把耗用资源巨大、单时钟周期内完成的并行执行的逻辑块分割开,提取出相同的逻辑模块,在时间上复用该模块,用多个时钟完成相同的功能,从而在一定程度上解决EDA技术优化不足的问题。%EDA represents the latest development trend of electronic design techniques in nowadays. By using EDA tools, electronic designers can design electronic system from concept,algorithms and protocols. A large number of work can be done by computer. The entire process of electronic products from circuit design and performance analysis to design IC layout or PCB layout can be done by computer automatically. Since EDA technique has some weakness in optimized mode,the new serial opti⁃mization method is proposed. The logic block with large resource consumption completed and executed in single clock period is segmented to extract the same logic modules. The modules are multiplexed in time. Multi⁃clock are applied to completing the same function,therefor the problem of EDA technique optimized insufficient can be solved to some extent.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号