首页> 中文期刊> 《现代电子技术》 >基于CPLD的激光标刻运动控制卡PC/104接口设计

基于CPLD的激光标刻运动控制卡PC/104接口设计

         

摘要

针对传统激光标刻机体积大,运行不稳定等缺点,提出使用嵌入式工控机加运动板卡的设计思路,设计基于CPLD的PC/104总线接口.采用握手通信思想,构造数据读/写权限寄存器,同时结合有限状态机实现上、下住机数据并行传输.实验仿真证明,传输效果能达到快速稳定,并且实际标刺应用验证了该接口设计的可行性与有效性.

著录项

  • 来源
    《现代电子技术》 |2010年第6期|87-89|共3页
  • 作者单位

    武汉科技大学,信息科学与工程学院,湖北,武汉,430081;

    武汉科技大学,信息科学与工程学院,湖北,武汉,430081;

    武汉科技大学,信息科学与工程学院,湖北,武汉,430081;

    武汉科技大学,信息科学与工程学院,湖北,武汉,430081;

    武汉科技大学,信息科学与工程学院,湖北,武汉,430081;

  • 原文格式 PDF
  • 正文语种 chi
  • 中图分类 总线、通道;
  • 关键词

    激光标刻; PC/104; CPLD; VHDL;

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号