...
首页> 外文期刊>Journal of Low Power Electronics and Applications >Forwarding Path Limitation and Instruction Allocation for In-Order Processor with ALU Cascading
【24h】

Forwarding Path Limitation and Instruction Allocation for In-Order Processor with ALU Cascading

机译:具有ALU级联的有序处理器的转发路径限制和指令分配

获取原文
           

摘要

Much research focuses on many-core processors, which possess a vast number of cores. Their area, energy consumption, and performance have a tendency to be proportional to the number of cores. It is better to utilize in-order (IO) execution for better area/energy efficiency. However, expanding two-way IO to three-way IO offers very little improvement, since data dependency limits the effectiveness. In addition, if the core is changed from IO to out-of-order (OoO) execution to improve Instruction Per Cycle(IPC), area and energy consumption increases significantly. The combination of IO execution and Arithmetic Logic Unit(ALU) cascading is an effective solution to alleviate this problem. However, ALU cascading is implemented by complex bypass circuits because it requires a connection between all outputs and all inputs of all ALUs. The hardware complexity of the bypass circuits increases area, energy consumption, and delay. In this study, we proposed a mechanism that limits the number of the forwarding paths and allocates instructions to ALUs in accordance with the limited paths. This mechanism scales down bypass circuits to reduce the hardware complexity. Our evaluation results show that our proposed mechanism can reduce the area by 38.7%, the energy by 41.1%, and the delay by 23.2% with very little IPC loss on average, as compared with the conventional mechanism.
机译:许多研究都集中在拥有大量内核的多核处理器上。它们的面积,能耗和性能倾向于与芯数成比例。最好利用有序(IO)执行来提高面积/能源效率。但是,将两路IO扩展为三路IO不会带来什么改善,因为数据相关性限制了有效性。此外,如果将内核从IO更改为乱序(OoO)执行以提高每周期指令数(IPC),则面积和能耗都会大大增加。 IO执行和算术逻辑单元(ALU)级联的组合是缓解此问题的有效解决方案。但是,ALU级联由复杂的旁路电路实现,因为它需要所有ALU的所有输出和所有输入之间的连接。旁路电路的硬件复杂性增加了面积,能耗和延迟。在这项研究中,我们提出了一种机制,该机制可以限制转发路径的数量,并根据有限的路径将指令分配给ALU。该机制按比例缩小了旁路电路,以降低硬件复杂性。我们的评估结果表明,与传统机制相比,我们提出的机制可减少面积38.7%,减少能量41.1%,延迟23.2%,平均IPC损失很小。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号