...
首页> 外文期刊>Journal of Computers >High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing
【24h】

High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing

机译:具有近阈值计算的高速低功耗MCML纳米电路

获取原文
           

摘要

—In this paper, the standard cells of the high-speed low-power MCML circuits with near-threshold computing are developed. The basic MCML standard cells include buffer/inverter, AND/NAND, XOR/XNOR, multiplexer, and full adder. The layout, abstract design and standard-cell characters of near-threshold MCML basic cells are described at a NCSU FreePDK 45nm technology. The 2-bit multiplier is verified by using the MCML cells. For normal supply voltage, the MCML basic gates can save more energy and have better performance than the traditional CMOS counterparts at 1GHz or higher operation frequencies. Near-threshold computing for MCML circuits is investigated by scaling down the supply voltage. The results show that the power consumption of MCML circuits that operate on near-threshold regions can be reduced without performance degrading.
机译:- 本文,开发了具有近阈值计算的高速低功耗MCML电路的标准单元。基本MCML标准单元包括缓冲器/逆变器,和/ NAND,XOR / XNOR,多路复用器和完整加法器。在NCSU FreePDK 45nm技术中描述了近阈值MCML基本单元的布局,抽象设计和标准单元字符。通过使用MCML单元格验证2位乘数。对于正常电源电压,MCML基本栅极可以节省更多的能量并具有比1GHz或更高操作频率更高的传统CMOS对应的性能。通过缩小电源电压来研究MCML电路的近阈值计算。结果表明,在近阈值区域上运行的MCML电路的功耗可以在没有性能下降的情况下减小。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号