首页> 外文期刊>Integration >A reliable PUF in a dual function SRAM
【24h】

A reliable PUF in a dual function SRAM

机译:双功能SRAM中的可靠PUF

获取原文
获取原文并翻译 | 示例
           

摘要

The Internet of Things (IoTs) employs resource-constrained sensor nodes for sensing and processing data that require robust, lightweight cryptographic primitives. The SRAM Physical Unclonable Function (SRAM-PUF) is a potential candidate for secure key generation. An SRAM-PUF is able to generate random and unique cryptographic keys based on start-up values by exploiting intrinsic manufacturing process variations. The reuse of the available on-chip SRAM memory in a system as a PUF might achieve useful cost efficiency. However, as CMOS technology scales down, aging-induced Negative Bias Temperature Instability (NBTI) becomes more pronounced resulting in asymmetric degradation of memory bit cells after prolonged storage of the same bit values. This causes unreliable start-up values for an SRAM-PUF. In this paper, the on-chip memory in the ARM architecture has been used as a case study to investigate reliability in an SRAM-PUF. We show that the bit probability in a 32-bit ARM instruction cache has a predictable pattern and hence predictable aging. Therefore, we propose using an instruction cache as a PUF to save silicon area. Furthermore, we propose a bit selection technique to mitigate the NBTI effect. We show that this technique can reduce the predicted bit error in an SRAM-PUF from 14.18% to 5.58% over 5 years. Consequently, as the bit error reduces, the area overhead of the error-correction circuitry is about 6 x smaller compared to that without a bit selection technique.
机译:物联网(IoT)使用资源受限的传感器节点来感应和处理需要鲁棒,轻量级密码原语的数据。 SRAM物理不可克隆功能(SRAM-PUF)是安全密钥生成的潜在候选者。 SRAM-PUF能够通过利用固有的制造工艺变化,根据启动值生成随机且唯一的加密密钥。将系统中可用的片上SRAM存储器重用为PUF可能会实现有用的成本效益。但是,随着CMOS技术的缩小,老化引起的负偏置温度不稳定性(NBTI)变得更加明显,导致长时间存储相同位值后导致存储位单元的不对称退化。这会导致SRAM-PUF的启动值不可靠。在本文中,ARM架构中的片上存储器已用作案例研究,以研究SRAM-PUF中的可靠性。我们证明了32位ARM指令缓存中的位概率具有可预测的模式,因此具有可预测的老化。因此,我们建议使用指令缓存作为PUF来节省芯片面积。此外,我们提出了一种位选择技术来减轻NBTI效应。我们证明了这项技术可以在5年内将SRAM-PUF中的预测误码率从14.18%降低到5.58%。因此,随着位错误的减少,与没有位选择技术的错误相比,纠错电路的面积开销小约6倍。

著录项

  • 来源
    《Integration》 |2019年第9期|12-21|共10页
  • 作者单位

    Univ Southampton, Sch Elect & Comp Sci, Southampton SO17 1BJ, Hants, England|Univ Tekn Malaysia Melaka, Fak Teknol Kejuruteraan Elekt & Elekt, Ctr Telecommun Res & Innovat CeTRI, Durian Tunggal, Malaysia;

    Univ Southampton, Sch Elect & Comp Sci, Southampton SO17 1BJ, Hants, England;

    Univ Southampton, Sch Elect & Comp Sci, Southampton SO17 1BJ, Hants, England;

    Univ Southampton, Sch Elect & Comp Sci, Southampton SO17 1BJ, Hants, England;

  • 收录信息 美国《科学引文索引》(SCI);美国《工程索引》(EI);
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

    Aging; Physical unclonable function; SRAM; Reliability;

    机译:老化;物理不可渗透功能;SRAM;可靠性;

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号