...
首页> 外文期刊>IEEE Journal of Solid-State Circuits >SleepWalker: A 25-MHz 0.4-V Sub-amp;formula formulatype='inline'amp; amp;img src='/images/tex/16996.gif' alt='hbox {mm}^{2}'amp; amp;/formulaamp; 7-amp;formula formulatype='inline'amp; amp;img src='/images/tex/20556.gif' alt='muhbox {W/MHz}'amp; amp;/formulaamp; Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes
【24h】

SleepWalker: A 25-MHz 0.4-V Sub-amp;formula formulatype='inline'amp; amp;img src='/images/tex/16996.gif' alt='hbox {mm}^{2}'amp; amp;/formulaamp; 7-amp;formula formulatype='inline'amp; amp;img src='/images/tex/20556.gif' alt='muhbox {W/MHz}'amp; amp;/formulaamp; Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes

机译:SleepWalker: A 25-MHz 0.4-V Sub-amp;formula formulatype="inline"amp; amp;img src="/images/tex/16996.gif" alt="hbox {mm}^{2}"amp; amp;/formulaamp; 7-amp;formula formulatype="inline"amp; amp;img src="/images/tex/20556.gif" alt="muhbox {W/MHz}"amp; amp;/formulaamp; Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes

获取原文
获取原文并翻译 | 示例
           

摘要

Integrated circuits for wireless sensor nodes (WSNs) targeting the Internet-of-Things (IoT) paradigm require ultralow-power consumption for energy-harvesting operation and low die area for low-cost nodes. As the IoT calls for the deployment of trillions of WSNs, minimizing the carbon footprint for WSN chip manufacturing further emerges as a third target in a design-for-the-environment (DfE) perspective. The SleepWalker microcontroller is a 65-nm ultralow-voltage SoC based on the MSP430 architecture capable of delivering increased speed performances at 25 MHz for only 7 $muhbox{W/MHz}$ at 0.4 V. Its $hbox{sub-mm}^{2}$ die area with low external component requirement ensures a low carbon footprint for chip manufacturing. SleepWalker incorporates an on-chip adaptive voltage scaling (AVS) system with DC/DC converter, clock generator, memories, sensor and communication interfaces, making it suited for WSN applications. An LP/GP process mix is fully exploited for minimizing the energy per cycle, with power gating to keep stand-by power at 1.7 $muhbox{W}$. By incorporating a glitch-masking instruction cache, system power can be reduced by up to 52. The AVS system ensures proper 25-MHz operation over process and temperature variations from $-$40 $^circ$C to $+hbox{85 }^{circ}hbox{C}$, with a peak efficiency of the DC/DC converter above 80. Finally, a multi-$V_{t}$ clock tree reduces variability-induced clock skew by 3 $times$ to ensure ro- ust timing closure down to 0.3 V.

著录项

获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号