首页> 外文会议>China Semiconductor Technology International Conference >Self-aligned double patterning (SADP) process even-odd uniformity improvement
【24h】

Self-aligned double patterning (SADP) process even-odd uniformity improvement

机译:自对准双图案(SADP)工艺均匀度得到改善

获取原文

摘要

Self-aligned spacer double patterning (SADP) scheme has been proposed as an alternative to litho-etch-litho-etch (LELE) scheme because if its superior control of the lines that are patterned by a uniform and conformal deposition of a spacer layer along sidewalls of the sacrificial patterning lines. However, it adds process complexity in the film stacks and extra challenges on both the linewidth and overlay variations induced by film thickness and etch uniformity, which was also called even-odd bias induced by the spacer. Effects of lithography and etch process deviations during sacrificial core formation are thought to be predominantly for the final space even-odd performance. In this paper, we will present an experimental study of process effect on the space even-odd uniformity, including the lithographic critical dimension uniformity (CDU), sacrificial core etch, spacer etch, hard mask etch and final Si etch. The contribution to the even-odd uniformity will be focused and analyzed step by step. DOMA application on 3X nm FLASH process was also carried out to improve the sacrificial core CDU, and its contribution and limitation to the final even-odd uniformity will be clarified as well.
机译:自对准间隔物双图案化(SADP)方案已被提议作为光刻蚀-平版蚀刻(LELE)方案的替代方案,因为如果它能很好地控制由沿着间隔层均匀均匀地沉积的图案化的线条,牺牲图案线的侧壁。然而,这增加了膜堆叠中的工艺复杂性,并且对由膜厚度和蚀刻均匀性引起的线宽和覆盖变化两者都提出了额外的挑战,这也被称为由间隔物引起的奇偶偏压。牺牲芯形成期间的光刻和蚀刻工艺偏差的影响主要被认为是最终空间奇数性能的结果。在本文中,我们将提供实验研究工艺对空间奇偶均匀性的影响,包括光刻关键尺寸均匀性(CDU),牺牲性芯蚀刻,间隔物蚀刻,硬掩模蚀刻和最终Si蚀刻。将对偶奇均匀性的贡献进行重点分析并逐步进行分析。还进行了DOMA在3X nm FLASH工艺上的应用,以改善牺牲型芯CDU,并且还将阐明其对最终均匀性的贡献和局限性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号