掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
China Semiconductor Technology International Conference
China Semiconductor Technology International Conference
召开年:
2016
召开地:
Shanghai(CN)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Resolving plasma induced damage for a CMOS embedded-OTP technology
机译:
解决CMOS嵌入式OTP技术引起的等离子体引起的损坏
作者:
Xiaobo Duan
;
Wei-Ting Kary Chien
;
Dennis Zhang
;
Susie Yu
;
Daniel Zhao
;
Javen Niu
会议名称:
《》
|
2016年
2.
Serdes SSC ATE solution
机译:
Serdes SSC ATE解决方案
作者:
Tiancang Tong
;
Bohong Wang
;
He Zheng
;
Xin Li
;
Ares Cao
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
3.
Series resistance of signal line in graphene coplanar waveguide
机译:
石墨烯共面波导中信号线的串联电阻
作者:
Zidong Wang
;
Xiongjun Shu
;
Yuehui Jia
;
Pei Peng
;
Xin Gong
;
Liming Ren
;
Jincai Wen
;
Yunyi Fu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
4.
Silver bonding wire for BSOB(Bond-Stitch-on-Ball)/BBOS(Bonding-Ball-on-Stitch)
机译:
BSOB(球上缝合线)/ BBOS(球上缝合线)用银焊线
作者:
Zhi Ren
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
5.
Silver flakes filled interpenetrating polymer network: High performance electrically conductive adhesives for electronic packaging
机译:
银片填充的互穿聚合物网络:用于电子包装的高性能导电胶
作者:
Yankang Han
;
Baotan Zhang
;
Pengli Zhu
;
Shulei Huang
;
Rong Sun
;
Chingping Wong
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
6.
Single damascene and dual damascene contact etch at Sub28nm logic technology
机译:
Sub28nm逻辑技术的单镶嵌和双镶嵌接触蚀刻
作者:
Cheng-Long Zhang
;
Qi-Yang He
;
Hai-Yang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
7.
Sn-3.0Ag-0.5Cu composite solder reinforced by multilayer graphene
机译:
多层石墨烯增强Sn-3.0Ag-0.5Cu复合焊料
作者:
Yilong Huang
;
Ziyang Xiu
;
Yanhong Tian
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
8.
Spectrometric ellipsometry application to optical metrology of Silicon-Germanium layer
机译:
椭偏光谱法在硅锗层光学计量中的应用
作者:
Yonggen He
;
Zhenyu Wu
;
Lan Jin
;
Yi Huang
;
Hao Dong
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
9.
Stretchable conductors based on in-situ polymerizde poly(3,4-ethylenedioxythiophene) and three dimensional structure design
机译:
基于原位聚合聚(3,4-乙撑二氧噻吩)的可拉伸导体和三维结构设计
作者:
Wangping Huang
;
Songfang Zhao
;
Guoping Zhang
;
Rong Sun
;
C. P. Wong
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
10.
Study of BEoL dual damascene ULK etch and the correlation to Cu gapfill performance
机译:
BEoL双镶嵌ULK刻蚀及其与Cu间隙填充性能的关系研究
作者:
Qi-yang He
;
Jun-qing Zhou
;
Hai-Yang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
11.
Study of electrically active defects in epitaxial layers on silicon
机译:
硅外延层中电活性缺陷的研究
作者:
E. Simoen
;
S. K. Dhayalan
;
S. Jayachandran
;
S. Gupta
;
F. Gencarelli
;
A. Hikavyy
;
R. Loo
;
E. Rosseel
;
A. Delabie
;
M. Caymax
;
R. Langer
;
K. Barla
;
H. Vrielinck
;
J. Lauwaert
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
12.
Study of epoxy molding compound for fingerprint sensor
机译:
指纹传感器用环氧模塑料的研究
作者:
Junichi Tabei
;
Hideaki Sasajima
;
Takeshi Mori
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
13.
Study of via layer overlay control in BEOL trench-first approach
机译:
BEOL沟槽优先方法中的通孔层覆盖控制研究
作者:
Bin Xing
;
Qiang Zhang
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
14.
Study on immersion defectivity of hole-layer patterns in advanced nodes
机译:
高级节点中孔层图形的浸没缺陷研究
作者:
Xi-Liang Liu
;
Wei-Ming He
;
Qiang Zhang
;
Hua-Yong Hu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
TC-less photo-resist;
defectivity;
development;
hole-layer patterns;
15.
Study on precipitation and dissolution of interfacial Cu6Sn5 during thermomigration
机译:
热迁移过程中界面Cu6Sn5的沉淀和溶解研究
作者:
Yi Zhong
;
Mingliang Huang
;
Jianfeng Deng
;
Haitao Ma
;
Wei Dong
;
Ning Zhao
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
16.
Study on the influence of contact glue layer growth condition on the quality of gap fill tungsten layer
机译:
接触胶层生长条件对间隙填充钨层质量影响的研究
作者:
Jianqiang Liu
;
Hanming Wu
;
Xing Zhang
;
Yi Wang
;
Zhizhong Lu
;
Chao Tian
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
17.
Sub-Fin solid source doping in the 14nm and sub-14 FinFET device
机译:
14nm和14以下FinFET器件中的亚Fin固体源掺杂
作者:
Wen Yan
;
Fei Zhou
;
Chengqing Wei
;
Hai Zhao
;
CanYang Xu
;
Yong Li
;
Jianhua Ju
;
Weiguang Yang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
18.
Sub-resolution-assist-feature placement study to dense patterns in advanced lithography process
机译:
先进光刻工艺中密集图案的亚分辨率辅助特征放置研究
作者:
Quan Chen
;
Zhibiao Mao
;
Shirui Yu
;
Weiwei Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
19.
Synthesis and application of novel fluorine based compound for organic light-emitting diode device
机译:
新型氟基化合物用于有机发光二极管器件的合成与应用
作者:
Fangfang Niu
;
Qinghao Wang
;
Siyang Liu
;
Jiarong Lian
;
Pengju Zeng
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
20.
Synthesis of ultra-long silver nanowires and the joining of silver networks
机译:
超长银纳米线的合成和银网络的连接
作者:
Shang Wang
;
Yanhong Tian
;
Jie Yu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
21.
The alignment performance study for the gate layer in FinFet processes
机译:
FinFet工艺中栅极层的对准性能研究
作者:
Xuan Li
;
Chang Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
alignment;
mark type;
wafer quality;
22.
The characteristics of EPI-SI thin film in electron cyclotron resonance plasma examined by an integrated plasma diagnostic sub-system
机译:
集成等离子体诊断子系统检查电子回旋共振等离子体中EPI-SI薄膜的特性
作者:
S. K. Jou
;
L. C. Hu
;
C. R. Yang
;
Y. W. Lin
;
C. J. Wang
;
T. C. Wei
;
C. C. Lee
;
J. Y. Chang
;
I. C. Chen
;
Tomi T. Li
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
23.
The impact of metal hard-mask AIO etch on BEOL electrical performance
机译:
金属硬掩模AIO蚀刻对BEOL电气性能的影响
作者:
Junqing Zhou
;
Minda Hu
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
24.
The improvement of the 2nd dummy poly gate removal with the optimized WAC condition
机译:
通过优化的WAC条件改进第二次伪多晶硅栅极去除
作者:
Shi-liang Ji
;
Qiu-hua Han
;
Hai-Yang Zhang
会议名称:
《》
|
2016年
25.
The influence of anneal condition on copper film property in ECP process
机译:
ECP工艺中退火条件对铜膜性能的影响
作者:
Yurong Cao
;
Shaopeng Zhang
;
Shoulong Zhang
;
Zhengyuan Zhao
;
Hu Li
;
Mingfei Yu
;
Yu Yang
;
Chang Fu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
26.
The novel TiN film prepared by high-performance metal hardmask PVD system for 28/20 nm technology node
机译:
由高性能金属硬掩模PVD系统为28/20 nm技术节点制备的新型TiN膜
作者:
Jianqiang Liu
;
Junbao Wang
;
Zhimin Bai
;
Qiang Li
;
Zhenguo Ma
;
Bin Deng
;
Guoqing Qiu
;
Wei Xia
;
Hougong Wang
;
Peijun Ding
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
27.
The plasma diagnosis by optical emission spectroscopy for the study of phosphorus doped nanocrystalline silicone film growth
机译:
通过发射光谱法进行等离子体诊断,研究磷掺杂的纳米晶硅薄膜的生长
作者:
Hsiang-Chih Yu
;
Yu-Lin Hsieh
;
Chia-Cheng Lu
;
Chien-Chieh Lee
;
Jenq-Yang Chang
;
I-Chen Chen
;
Tomi T. Li
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
28.
The research and development of N type monocrystalline silicon wafer for high efficiency solar cells
机译:
用于高效太阳能电池的N型单晶硅晶片的研究与开发
作者:
Dingwu Li
;
Peidong Liu
;
Nannan Fu
;
Gang Wu
;
Xin Ju
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
29.
Thermal simulation of gallion nitride power packages
机译:
氮化镓功率封装的热仿真
作者:
Hao Zhang
;
Simon S. Ang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
30.
Thermal-aware small-delay defect testing in integrated circuits
机译:
集成电路中的热感知小延迟缺陷测试
作者:
Yu Bai
;
Dong Xiang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
31.
Three-dimensional graphene foam and carbon fibers reinforced epoxy resin composites with enhanced thermal conductivity
机译:
具有增强导热性的三维石墨烯泡沫和碳纤维增强环氧树脂复合材料
作者:
Sheng-Yun Huang
;
Yaqiang Ji
;
Haoran Wen
;
Kai Zhang
;
Matthew M. F. Yuen
;
Xian-Zhu Fu
;
Rong Sun
;
C P. Wong
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
32.
Three-dimensional graphene foam for highly sensitive pressure sensors
机译:
用于高灵敏压力传感器的三维石墨烯泡沫
作者:
Jinhui Li
;
Guoping Zhang
;
Rong Sun
;
C. P. Wong
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
33.
Top metal layer electro plating edge bevel removal improvement study
机译:
顶层金属层电镀边缘斜角去除改进研究
作者:
Jianqiang Liu
;
Hanming Wu
;
Xing Zhang
;
Yi Wang
;
Chao Tian
;
Liang Sun
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
34.
World-first 'low temperature/low load' damage free flip chip technology
机译:
世界首创的“低温/低负荷”无损倒装芯片技术
作者:
Hiroshi Komatsu
;
Nozomi Shimoishizaka
;
Takahiro Nakano
;
Katsunori Hirata
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
35.
Basic principles of chemical vapor deposition technique at atmospheric pressure for synthesis of cadmium telluride and its implementation as diode
机译:
常压化学气相沉积技术合成碲化镉的基本原理及其作为二极管的实现
作者:
I. R. Chvez-Urbiola
;
F. Willars-Rodriguez
;
Y. V. Vorobiev
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
CVD;
CdTe;
CdTe/Si;
diode;
36.
Patent issues of embedded fan-out wafer/panel level packaging
机译:
嵌入式扇出晶圆/面板级封装的专利问题
作者:
John H. Lau
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
37.
The comparison of the effectiveness of model-based SRAFs and rule-based SRAFs
机译:
基于模型的SRAF和基于规则的SRAF的有效性比较
作者:
Yaojun Du
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
38.
Effective approaches to improve Au etching process performance in MEMS devices
机译:
改善MEMS器件中金蚀刻工艺性能的有效方法
作者:
Victor Luo
;
Jingxiu Ding
;
Xingtao Xue
;
Ruipeng Zhang
;
Xianming Zhang
;
Hongliang Lu
;
David Wei Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
MEMS;
gold;
metal residue;
potassium iodide;
undercut;
wet etch;
39.
A conformal low resistivity fluorine free tungsten for FinFET metal gate and 3D memory applications
机译:
适用于FinFET金属栅极和3D存储器应用的共形低电阻率无氟钨
作者:
Xinyu Fu
;
Guoqiang Jian
;
Jing Zhou
;
Rajkumar Jakkaraju
;
Naomi Yoshida
;
Paul Ma
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
40.
A low power sample and hold circuit for 16 bit 100 MS/s pipelined ADC
机译:
用于16位100 MS / s流水线ADC的低功耗采样和保持电路
作者:
Yang Long
;
Wang Zongmin
;
Zhou Liang
;
Feng Wenxiao
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
41.
A new evaluation and control method on semiconductor risk production manufacturing period
机译:
半导体风险生产制造周期的评估与控制新方法
作者:
Ziqian Javaer Liu
;
Hongtao H T Qian
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
42.
A new extraction method of parasitic resistance for poly-connected MOSFETs
机译:
多连接MOSFET的寄生电阻提取新方法
作者:
Haohua Ye
;
Chien-Lung Tseng
;
Bowen Lian
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
43.
A study of narrow transistor layout proximity effects for 28nm Poly/SiON logic technology
机译:
28nm Poly / SiON逻辑技术的窄晶体管布局邻近效应研究
作者:
Ruoyuan Li
;
Hong Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
44.
The design of two-zone heater for a MOCVD vacuum reactor
机译:
MOCVD真空反应器的两区加热器设计
作者:
Cheng-Pin Chang
;
Chih-Kai Hu
;
Hsien-Chih Chiu
;
Tomi T. Li
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
45.
A simulation study on two-dimensional patterns with different post-OPC mask variations
机译:
OPC后掩模变化不同的二维图案的仿真研究
作者:
Qiang Wu
;
Liwan Yue
;
Yanli Li
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
46.
Investigation into PR profile representation through method of OVL focus subtraction based on a case of Overlay AEI-ADI offset on contact layer of advanced technology node
机译:
基于先进技术节点接触层上重叠AEI-ADI偏移的情况下,通过OVL焦点减法的PR轮廓表示研究
作者:
Guogui Deng
;
Jingan Hao
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
47.
Miniaturization of system in package for wearable devices using copper pillar solder flip chip interconnects
机译:
使用铜柱焊料倒装芯片互连的可穿戴设备的系统封装的小型化
作者:
Kelvin Pun
;
Amandeep Singh
;
M. N. Islam
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Cu pillar solder flip chip;
HDI flexible substrate;
Metal suface finsh;
Solder wicking;
Surface flatness;
Wearable devices;
48.
2D heat dissipation materials for microelectronics cooling applications
机译:
用于微电子冷却应用的2D散热材料
作者:
Yong Zhang
;
Shirong Huang
;
Nan Wang
;
Jie Bao
;
Shuangxi Sun
;
Michael Edwards
;
Xuan Fu
;
Wang Yue
;
Xiuzhen Lu
;
Yan Zhang
;
Zhichao Yuan
;
Haoxue Han
;
Sebastian Volz
;
Yifeng Fu
;
Lilei Ye
;
Kjell Jeppson
;
Johan Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
49.
Development of 250W EUV light source for HVM lithography
机译:
用于HVM光刻的250W EUV光源的开发
作者:
Hakaru Mizoguchi
;
Hiroaki Nakarai
;
Tamotsu Abe
;
Krzysztof M Nowak
;
Yasufumi Kawasuji
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Tsukasa Hori
;
Takeshi Kodama
;
Yutaka Shiraishi
;
Tatsuya Yanagida
;
Tsuyoshi Yamada
;
Taku Yamazaki
;
Shinji Okazaki
;
Takashi Saitou
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
50.
Electromigration failure mechanism investigation between wafer level and plackage level reliability test in AL interconects
机译:
铝互连中晶圆级和封装级可靠性测试之间的电迁移失效机理研究
作者:
Wang Dulin
;
Ong Cheng Nee
;
Ng Hong Seng
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
51.
Elimination of Tungsten-voids in middle-of-line contacts for advanced planar CMOS AND FinFET technology
机译:
消除了用于高级平面CMOS和FinFET技术的中线触点中的钨空隙
作者:
Wen Pin Peng
;
Min-hwa Chi
;
Garo Derderian
;
Kakoli Das
;
Yang Zhang
;
Jean-Baptiste Laloe
;
Derya Deniz
;
Suraj Patil
;
Jianghu Yan
;
SherJang Singh
;
Xiaodong Zhang
;
Lei Zhu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
52.
Form factor and cost driven advanced package substrates for mobile and IoT applications
机译:
适用于移动和物联网应用的尺寸因素和成本驱动型高级封装基板
作者:
Ken Lee
;
Samuel Cha
;
Peter Shim
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
53.
Image based overlay target segmentation in memory SADP process
机译:
内存SADP过程中基于图像的覆盖目标分割
作者:
Lei-Ye
;
Huayong-Hu
;
Weiming-He
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
54.
Impacts of random telegraph noise (RTN) on the Energy-Delay tradeoffs of logic circuits
机译:
随机电报噪声(RTN)对逻辑电路的能量延迟权衡的影响
作者:
Yang Zhang
;
Xiaobo Jiang
;
Junyao Wang
;
Shaofeng Guo
;
Yichen Fang
;
Runsheng Wang
;
Mulong Luo
;
Ru Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
55.
Insights into the role of interface in the rheological property of underfill composites
机译:
深入了解界面在底部填充复合材料流变特性中的作用
作者:
Qian Quo
;
Pengli Zhu
;
Junjie Wen
;
Gang Li
;
Tianyu Wang
;
Ali Li
;
Daoqiang Daniel Lu
;
Rong Sun
;
Chingping Wong
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
56.
Metal contamination control and reduction in plasma etching
机译:
控制金属污染并减少等离子蚀刻
作者:
Xingjian Chen
;
Xiao-Ming He
;
Bryan Pu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
metal contamination;
plasma etch chamber;
plasma etching;
57.
Mitigation of corrosion challenges for barrier films at advanced nodes
机译:
减轻先进节点的阻挡膜的腐蚀挑战
作者:
K. V. Sagi
;
S. V. Babu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
58.
Upstream data analytics to optimize system test
机译:
上游数据分析以优化系统测试
作者:
Harry H. Chen
;
Peter Shih
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
59.
Ultra wafer thinning and dicing technology for stacked die packages
机译:
用于堆叠管芯封装的超薄晶圆减薄和切割技术
作者:
Renfu Zhang
;
Hao Liu
;
Bo Li
;
Tetsukazu Sugiya
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Grinding;
Mechanical strength;
Multichip packages;
NAND flash;
Roughness;
Stealth Dicing;
Wafer thinning;
60.
Study on CMP process of glass wafers with SiO2 based slurry for trench-glass-via interposer
机译:
用于沟槽玻璃通孔插入件的SiO2基浆料玻璃晶片的CMP工艺研究
作者:
Chao-Chang A. Chen
;
Hong-Tsu Young
;
Ching-Hui Chiou
;
Min-Yue Xue
;
Chen-Lung Pan
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Chemical Mechanical Polishing;
Glass wafer;
Interposer;
SiO2 abrasive;
Trench-Glass-Via;
61.
An ultra low power 10-bit 1KS SAR-ADC for ECG signal recording applications
机译:
用于ECG信号记录应用的超低功耗10位1KS SAR-ADC
作者:
Chen Chen
;
Bo Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
SAR-ADC;
area saving;
low offset;
low power;
wearable and medical applications;
62.
Chemical mechanical cleaning for CMP defect reduction
机译:
化学机械清洗以减少CMP缺陷
作者:
Yufei Chen
;
Jianshe Tang
;
Ekaterina Mikhaylichenko
;
Brian Brown
;
Fritz Redeker
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
63.
Design technology co-optimization for N14 Metal1 layer
机译:
N14 Metal1层的设计技术共同优化
作者:
Yingli Duan
;
Xiaojing Su
;
Ying Chen
;
Yajuan Su
;
Yayi Wei
;
Feng Shao
;
Recco Zhang
;
Junjiang Lei
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Chip Design;
Co-Optimization;
Hotspot Library;
IC Manufacture;
Lithography Technology;
64.
New applications and challenges of dielectric films at 14nm FinFET technology and beyond
机译:
14nm FinFET技术及以后的介电膜的新应用和挑战
作者:
Hao Deng
;
Lihong Xiao
;
Yingjie Chen
;
Jun Yang
;
Jinhe Qi
;
Canyang Xu
;
Kun Chen
;
Beichao Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Dielectric films;
FCVD;
FinFET;
SAC;
SSD;
65.
New neural network-based method for Stribeck curve construction during chemical mechanical planarization
机译:
基于新的基于神经网络的化学机械平面化Stribeck曲线构建方法
作者:
Xiaoyan Liao
;
Changhong Wu
;
Jinhua Zheng
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
66.
Solving the challenges of highly outgassing substrates in advanced packaging applications such as UBM and RDL
机译:
解决高级包装应用(如UBM和RDL)中高度除气的基材的挑战
作者:
Mohamed Elghazzali
;
Andreas Erhart
;
Bernd Heinz
;
Jrgen Weichart
;
Albert Koller
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
67.
Stochastic logics for low cost and low power wearable applications
机译:
低成本和低功耗可穿戴应用的随机逻辑
作者:
Yuan Ji
;
Wendong Chen
;
Feng Ran
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
facial recognition;
neural network;
parallel computing;
stochastic computing;
wearable device;
68.
Materials and technologies to enable high temperature stable MEMS and electronics for smart systems used in harsh environments
机译:
用于恶劣环境下智能系统的高温稳定MEMS和电子产品的材料和技术
作者:
F. Gabler
;
F. Roscher
;
Ralf Dring
;
A. Otto
;
S. Ziesche
;
M. Ihle
;
Y. Celik
;
D. Dietz
;
A. Goehlich
;
H. Kappert
;
H. Vogt
;
F. Naumann
;
T. Gessner
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
69.
The characterization of photoresist shrinkage difference in X-Y directions with CDSEM metrology
机译:
CDSEM计量学方法在X-Y方向上光刻胶收缩率差异的表征
作者:
Weiming He
;
Xuelong Shi
;
Huayong Hu
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
70.
Accuracy improved transconductance of the GM suitable for Gm-C filters using in special communication system applications
机译:
GM的精度提高了跨导,适用于特殊通信系统应用中的Gm-C滤波器
作者:
Yang Zhang
;
Yiqiang Zhao
;
Yinqi Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
71.
AFORS-HET simulation study of HIT solar cells: Significance of inversion layer
机译:
HIT太阳能电池的AFORS-HET模拟研究:反型层的意义
作者:
Lifei Yang
;
Fengqin He
;
Lu Zheng
;
Zhi Zhang
;
Pengbo Ma
;
Shengzhong Frank Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
72.
DCE application in oxide furnace
机译:
DCE在氧化炉中的应用
作者:
Yinglai Guan
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
73.
Dry Development Rinse Process (DDRP) and material (DDRM) for novel pattern collapse free process
机译:
干显影冲洗过程(DDRP)和材料(DDRM)用于新型无图案塌陷过程
作者:
Rikimaru Sakamoto
;
Wataru Shibayama
;
Shuhei Shigaki
;
Makoto Nakajima
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
74.
Effective solution for the 14nm node multiple patterning lithography
机译:
14nm节点多图案光刻的有效解决方案
作者:
Lixian Yu
;
Yayi Wei
;
Yajuan Su
;
Xiaojing Su
;
Zhiyang Song
;
Moran Quo
;
Yingli Duan
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
75.
Experimental parametric study on the bumping and coining of gold studs for flip chip bonding
机译:
用于倒装芯片接合的金钉凸点和压花的实验参数研究
作者:
Rongbin Ren
;
Xing Qiu
;
Jeffery C. C. Lo
;
S. W. Ricky Lee
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
76.
Growth of high performance multi-crystalline silicon ingots: Dual power vs. single power controlled DSS
机译:
高性能多晶硅锭的增长:双功率vs.单功率控制的DSS
作者:
N. Balamurugan
;
Han Xu
;
Mike Pfund
;
Shawn Skinner
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Crystalline Silicon;
Directional Solidification System;
Dual Power Control;
Seeded Growth;
77.
Impact of photomask shape uncertainties on computational lithography
机译:
光掩模形状不确定性对计算光刻的影响
作者:
Edmund Y. Lam
;
Xiaofei Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
78.
OPC convergence improvement by matrix OPC solver on high MEEF contact layer
机译:
在高MEEF接触层上通过矩阵OPC求解器改善OPC收敛
作者:
Wanjuan Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Matrix OPC solver;
OPC convergence;
high MEEF;
79.
PMA effects on Al/HfO2 high-K PMOS capacitors
机译:
PMA对Al / HfO2高K PMOS电容器的影响
作者:
Lihong Xiao
;
Hao Deng
;
Fenglian Li
;
Jinhe Qi
;
Jian Zhao
;
Beichao Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Defects;
ESL;
HKMG;
Oxynitride;
PMA;
80.
Radio-frequency flexible and stretchable electronics (Key note)
机译:
射频柔性和可伸缩电子产品(主要说明)
作者:
Zhenqiang Ma
;
Yei Hwan Jung
;
Jung-Hun Seo
;
Juhwan Lee
;
Sang June Cho
;
Tzu-Hsuan Chang
;
Huilong Zhang
;
Shaoqin Gong
;
Weidong Zhou
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
81.
Recent development progress of high efficiency rear-emitter heterojunction solar cells
机译:
高效背发射异质结太阳能电池的最新进展
作者:
Jinyan Zhang
;
Cao Yu
;
Miao Yang
;
Gangqiang Dong
;
Zhikai Yi
;
Wei Long
;
Shihu Lan
;
Yue Zhang
;
Jingjing He
;
Minyong Du
;
Xixiang Xu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
82.
Reduction of 'dark gate' defects in replacement-metal-gate process and middle-of-line contacts for advanced planar CMOS and FinFET technology
机译:
减少用于先进平面CMOS和FinFET技术的替代金属栅极工艺和线中触点中的“暗栅极”缺陷
作者:
Wen Pin Peng
;
Min-hwa Chi
;
Yang Zhang
;
Garo Derderian
;
Jeremy Wahl
;
Yue Hu
;
Yajiang Liu
;
Haiting Wang
;
John Lemon
;
Tao Wang
;
Jiwang Mao
;
Shi You
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
83.
Self-aligned double patterning (SADP) process even-odd uniformity improvement
机译:
自对准双图案(SADP)工艺均匀度得到改善
作者:
Huayong Hu
;
Peng Wang
;
Jianyao Liu
;
Hongwei Zhang
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
84.
SRAM HTOL Vccmin shift analysis for process control
机译:
用于过程控制的SRAM HTOL Vccmin位移分析
作者:
Xianfeng Chen
;
Ming Li
;
Yong Zhao
;
W. T. Kary Chien
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
85.
Study of electrodes design for electro-kinetic force chemical mechanical polishing of copper planarization
机译:
电动势化学机械抛光铜平面化的电极设计研究
作者:
Yueh-Hsun Tsai
;
Chao-Chang A. Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
EKF-CMP;
chemical mechanical polishing;
copper metallization;
dishing;
electro-kinetic force;
electrodes design;
86.
Study of surface control during barrier layer etch
机译:
势垒层腐蚀过程中表面控制的研究
作者:
Weijun Luo
;
Bao Shang Ma
;
Fei Shen
;
Zhijie Hao
;
JunWen Huang
;
Huiyuan Pei
;
Jiang Jun Xia
;
Fan Shun Meng
;
Li Jie
;
Lianjuan Ren
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
Barrier Residue;
CO addition;
Pinhole;
Ta/TaN barrier layer etch;
etch by product;
87.
Study on the electrical characteristics of in situ PEALD-passivated HfO2/In0.53Ga0.47As MOSCAP and MOSFET structures
机译:
原位PEALD钝化HfO2 / In0.53Ga0.47As MOSCAP和MOSFET结构的电学特性研究
作者:
Quang-Ho Luc
;
Po-Chun Chang
;
Huy-Binh Do
;
Yueh-Chin Lin
;
Edward Yi Chang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
88.
The next frontier in IC design: Determining (and optimizing) robustness and resilience of integrated circuits and systems
机译:
IC设计的下一个前沿领域:确定(和优化)集成电路和系统的稳健性和弹性
作者:
Ulf Schlichtmann
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
89.
Vertical TSV fabrication for wafer level chip scale packages
机译:
晶圆级芯片级封装的垂直TSV制造
作者:
Liu Chen
;
Chen Jie
;
Mairui Huang
;
Mark Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
CMOS image sensor;
Finger print sensor;
Thermo-mechanical simulation;
Vertical through silicon via;
90.
Void-free underfill encapsulation for flip chip high voltage LED packaging
机译:
无空洞底部填充封装,用于倒装芯片高压LED封装
作者:
Andrew W. Shang
;
Xing Qiu
;
Jeffery C. C. Lo
;
S. W. Ricky Lee
;
Fred F. Le
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
91.
Yield driven design and optimization for near-threshold voltage SRAM cells
机译:
阈值电压SRAM单元的良率驱动设计和优化
作者:
Yang Chen
;
Zuochang Ye
;
Yan Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
92.
Investigation of chemical effects in lithography
机译:
光刻中的化学作用研究
作者:
Zhimin Zhu
;
Joyce Lowes
;
Vandana Krishnamurthy
;
Dan Sullivan
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
93.
No clean material for advance packaging assembly
机译:
没有用于提前包装组装的干净材料
作者:
SzePei Lim
;
Maria Durham
;
Andy Mackie
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
94.
Preventing aging of electrically conductive adhesives on metal substrate using graphene based barrier
机译:
使用石墨烯基阻挡层防止金属基材上的导电胶老化
作者:
Hui Ye
;
Shirong Huang
;
Zhichao Yuan
;
Xiuzhen Lu
;
Kjell Jeppson
;
Lilei Ye
;
Johan Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
95.
Photolithography study for high-density integration technologies
机译:
用于高密度集成技术的光刻研究
作者:
Hiromi Suda
;
Masaki Mizutanf
;
Shin-Ichiro Hirai
;
Ken-Ichiro Mori
;
Seiya Miura
会议名称:
《China Semiconductor Technology International Conference》
|
2016年
关键词:
2.5D integration;
3D integration;
FOWLP;
TSV;
i-line lithography tool;
意见反馈
回到顶部
回到首页