首页> 外文会议>Silicon compatible materials, processes, and technologies for advanced integrated circuits and emerging applications >Sub-atmospheric Chemical Vapor Deposition of SiOj for Dielectric Layers in High Aspect Ratio TSVs
【24h】

Sub-atmospheric Chemical Vapor Deposition of SiOj for Dielectric Layers in High Aspect Ratio TSVs

机译:高纵横比TSV中介电层SiOj的低于大气压化学气相沉积

获取原文
获取原文并翻译 | 示例

摘要

The formation of TSVs includes a deep Si trench etching and the formation of a dielectric layer along the high-aspect-ratio Si trench to isolate the filling conductive material from the bulk Si. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators, such as ANSYS HFSS~®. A SA-CVD with a pulsed flow of ozone has been developed and used to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides a good coating of the 100 urn depth silicon trenches with the high aspect ratio of 20. Developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.
机译:TSV的形成包括深硅沟槽蚀刻以及沿着高纵横比硅沟槽的电介质层的形成,以将填充的导电材料与块状硅隔离。由于与硅的高耦合,填充导体与硅衬底的隔离对于更高的频率变得更加重要。可以使用电磁场模拟器(例如ANSYSHFSS®)来验证通孔壁绝缘层上氧化物厚度的重要性。已开发出具有臭氧脉冲流的SA-CVD,并用于将隔离氧化物沉积到深硅沟槽的壁上。该技术可很好地覆盖100微米深的硅沟槽,且高深宽比为20。开发的技术允许用氧化物覆盖深硅沟槽,并使硅衬底与TSV的高度隔离成为可能,这是性能的关键因素用于毫米波3D封装的TSV。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号