首页> 中文期刊> 《计算机测量与控制》 >基于FPGA的PLC并行计数器的设计

基于FPGA的PLC并行计数器的设计

         

摘要

构建了一种采用ARM与FPGA协同并行工作实现计数功能的PLC控制系统;设计了ARM-FPGA系统的通信方式与协议,实现了ARM与FPGA之间快速高效的通信;由于PLC内部包含了数量较多的计数器,因此在FPGA中采用串行方式与并行方式相结合的方法实现PLC计数功能,经过分析与测试可知,该设计方法不仅可以保证计数器的最高计数频率可达到97.6 kHz,即完成256个计数器操作仅需10.24,μs的时间周期,还能提高系统工作效率与减少硬件资源耗用;通过对FPGA内部功能模块的仿真测试与ARM-FPGA系统联合测试,验证了ARM-FPGA系统可以初步实现PLC的预期功能,其中FPGA可以稳定高速地实现计数功能.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号