...
首页> 外文期刊>Microprocessors and microsystems >Power consumption of 3D networks-on-chips: Modeling and optimization
【24h】

Power consumption of 3D networks-on-chips: Modeling and optimization

机译:3D片上网络的功耗:建模和优化

获取原文
获取原文并翻译 | 示例
           

摘要

Designing power-efficient Networks-on-Chips (NoCs) for 3D ICs has emerged as a promising solution for complex mobile and portable applications. The total power consumption of a 3D NoC design depends on the allocation of the Intellectual properties (IPs) to the different network routers and the number of Through Silicon Vias (TSVs) used in the design. In this paper, we introduce a new analytical model for the power consumption of 3D NoCs. This new model relies on graph-theoretic concepts and incorporates static and dynamic power in order to present a more accurate evaluation of 3D NoC power consumption. The proposed model utilizes Dijkstra's algorithm to find shortest path routing. It also reflects the impact of using TSVs in 3D ICs. Using the proposed model, we develop a new methodology to select the 3D NoC topology and find the best IP-mapping. The proposed methodology utilizes a bio-inspired optimization technique. We compare particle swarm optimization (PSO) to genetic algorithms (GAs) in order to find the best 3D mesh network mapping that achieves minimum power consumption. The presented methodology is validated through two case studies to address symmetric and asymmetric multicore applications.
机译:设计用于3D IC的高能效芯片级网络(NoC)已经成为复杂移动和便携式应用的有前途的解决方案。 3D NoC设计的总功耗取决于将知识产权(IP)分配给不同的网络路由器以及设计中使用的硅通孔(TSV)的数量。在本文中,我们介绍了3D NoC功耗的新分析模型。此新模型​​依赖于图论概念,并结合了静态和动态功耗,以便对3D NoC功耗进行更准确的评估。所提出的模型利用Dijkstra算法找到最短路径路由。它还反映了在3D IC中使用TSV的影响。使用提出的模型,我们开发了一种新的方法来选择3D NoC拓扑并找到最佳的IP映射。拟议的方法利用了生物启发的优化技术。我们将粒子群优化(PSO)与遗传算法(GA)进行比较,以找到可实现最低功耗的最佳3D网状网络映射。通过两个案例研究验证了提出的方法论,以解决对称和非对称多核应用。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号