掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International symposium on Low power electronics and design
International symposium on Low power electronics and design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A new architecture for rail-to-rail input constant-gm CMOS operational transconductance amplifiers
机译:
轨至轨输入恒定gm CMOS运算跨导放大器的新架构
作者:
Mohammad M. Ahmadi
;
Reza Lotfi
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
transconductance;
2.
Row-by-row dynamic source-line voltage control (RRDSV) scheme for two orders of magnitude leakage current reduction of sub-1-V-VDD SRAM's
机译:
逐行动态源极线电压控制(RRDSV)方案,可将低于1V-VDD的SRAM的泄漏电流降低两个数量级
作者:
Kyeong-Sik Min
;
Kouchi Kanda
;
Takayasu Sakurai
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
subthreshold current;
3.
Design methodology for fine-grained leakage control in MTCMOS
机译:
MTCMOS中细粒度泄漏控制的设计方法
作者:
Benton H. Calhoun
;
Frank A. Honore
;
Anantha Chandrakasan
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
sneak leakage;
4.
An MTCMOS design methodology and its application to mobile computing
机译:
MTCMOS设计方法及其在移动计算中的应用
作者:
Hyo-Sig Won
;
Kyo-Sun Kim
;
Kwang-Ok Jeong
;
Ki-Tae Park
;
Kyu-Myung Choi
;
Jeong-Taek Kong
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low power;
5.
Optimal body bias selection for leakage improvement and process compensation over different technology generations
机译:
针对不同技术世代的泄漏改善和过程补偿的最佳本体偏置选择
作者:
Cassondra Neau
;
Kaushik Roy
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
substrate bias;
6.
Effectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies
机译:
低于130nm CMOS技术的泄漏控制技术的有效性和规模趋势
作者:
Bhaskar Chatterjee
;
Manoj Sachdev
;
Steven Hsu
;
Ram Krishnamurthy
;
Shekhar Borkar
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
non-minimum L;
7.
Low-power high-level synthesis for FPGA architectures
机译:
适用于FPGA架构的低功耗高级综合
作者:
Deming Chen
;
Jason Cong
;
Yiping Fan
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
data path optimization;
8.
An ASIC design methodology with predictably low leakage, using leakage-immune standard cells
机译:
使用防泄漏标准单元的可预测的低泄漏的ASIC设计方法
作者:
Nikhil Jayakumar
;
Sunil P. Khatri
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
standby current;
9.
ILP-based optimization of sequential circuits for low power
机译:
基于ILP的低功耗时序电路优化
作者:
Feng Gao
;
John P. Hayes
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low power;
10.
B#
机译:
B#
作者:
Pai H. Chou
;
Chulsung Park
;
Jae Park
;
Kien Pham
;
Jinfeng Liu
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
power profiling instrument;
11.
Voltage scheduling under unpredictabilities
机译:
不可预测的电压调度
作者:
Azadeh Davoodi
;
Ankur Srivastava
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
voltage scheduling;
12.
Energy efficient D-TLB and data cache using semantic-aware multilateral partitioning
机译:
使用语义感知多边分区的节能D-TLB和数据缓存
作者:
Hsien-Hsin S. Lee
;
Chinnakrishnan S. Ballapuram
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
multi-ported memory structures;
13.
A selective filter-bank TLB system
机译:
选择性滤波器组TLB系统
作者:
Jung-Hoon Lee
;
Gi-Ho Park
;
Sung-Bae Park
;
Shin-Dug Kim
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
translation lookaside buffer;
14.
Checkpointing alternatives for high performance, power-aware processors
机译:
高性能,可识别功耗的处理器的检查点替代品
作者:
Andreas Moshovos
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
renaming;
15.
Reducing instruction fetch energy with backwards branch control information and buffering
机译:
使用反向分支控制信息和缓冲来减少指令获取能量
作者:
Jude A. Rivers
;
Sameh Asaad
;
John-David Wellman
;
Jaime H. Moreno
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low-power;
16.
Pipeline stage unification
机译:
流水线统一
作者:
Hajime Shimada
;
Hideki Ando
;
Toshio Shimada
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
pipeline stage;
17.
Energy-efficient instruction set synthesis for application-specific processors
机译:
适用于特定处理器的节能指令集综合
作者:
Jong-eun Lee
;
Kiyoung Choi
;
Nikil D. Dutt
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low power;
18.
A low-power design methodology for high-resolution pipelined analog-to-digital converters
机译:
适用于高分辨率流水线模数转换器的低功耗设计方法
作者:
Reza Lotfi
;
Mohammad Taherzadeh-Sani
;
M. Yaser Azizi
;
Omid Shoaei
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
pipelined analog-to-digital converters;
19.
A 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using 'Slew Boost' technique
机译:
适用于采用“ Slew Boost”技术的高速低功耗流水线A / D转换器的1V 1-mW高速AB类运算放大器
作者:
H. A. Aslanzadeh
;
S. Mehrmanesh
;
M. B. Vahidfar
;
A. Q. Safarian
;
R. Lotfi
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
ultra low voltage;
20.
Elements of low power design for integrated systems
机译:
集成系统的低功耗设计要素
作者:
Sung-Mo Kang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low power integrated circuits;
21.
Microarchitecture level power and thermal simulation considering temperature dependent leakage model
机译:
考虑温度依赖性泄漏模型的微体系结构级功率和热仿真
作者:
Weiping Liao
;
Fei Li
;
Lei He
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
thermal;
22.
Reducing power density through activity migration
机译:
通过活动迁移降低功率密度
作者:
Seongmoo Heo
;
Kenneth Barr
;
Krste AsanoviC
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
thermal model;
23.
ESTIMA
机译:
尊重
作者:
Kavel M. Buyuksahin
;
Priyadarsan Patra
;
Farid N. Najm
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
register files;
24.
Multivoltage scheduling with voltage-partitioned variable storage
机译:
具有电压分区变量存储的多电压调度
作者:
Amitabh Menon
;
S. K. Nandy
;
Mahesh Mehendale
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
multivoltage;
25.
Low-voltage low-power fast-settling CMOS operational transconductance amplifiers for switched-capacitor applications
机译:
用于开关电容器应用的低压低功耗快速建立CMOS运算跨导放大器
作者:
Mohammad Yavari
;
Omid Shoaei
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
switched-capacitor circuits;
26.
Low-voltage low-power high dB-linear CMOS exponential function generator using highly-linear V-I converter
机译:
使用高线性V-I转换器的低压低功耗高dB线性CMOS指数函数发生器
作者:
Quoc-Hoang Duong
;
Trung-Kien Nguyen
;
Sang-Gug Lee
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
exponential V-I converter;
27.
A systems approach to molecular electronics
机译:
分子电子学的系统方法
作者:
James R. Heath
会议名称:
《International symposium on Low power electronics and design》
|
2003年
28.
Energy-aware architectures for a real-valued FFT implementation
机译:
能量感知架构用于实值FFT实现
作者:
Alice Wang
;
Anantha P. Chandrakasan
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
wireless sensor networks;
29.
A low-power VLSI architecture for turbo decoding
机译:
用于Turbo解码的低功耗VLSI架构
作者:
Seok-Jun Lee
;
Naresh R. Shanbhag
;
Andrew C. Singer
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
turbo decoding;
30.
A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores
机译:
用于全局异步,本地同步处理器内核的混合时钟问题队列设计
作者:
Venkata Syam P. Rapaka
;
Diana Marculescu
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
mixed-clock circuits;
31.
Power efficient comparators for long arguments in superscalar processors
机译:
功率高效比较器,用于超标量处理器中的长参数
作者:
Dmitry Ponomarev
;
Gurhan Kucuk
;
Oguz Ergin
;
Kanad Ghose
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
superscalar datapath;
32.
The microarchitecture of a low power register file
机译:
低功耗寄存器文件的微体系结构
作者:
Nam Sung Kim
;
Trevor Mudge
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
write queue;
33.
Branch prediction on demand
机译:
按需分支预测
作者:
Daniel Chaver
;
Luis Pinuel
;
Manuel Prieto
;
Francisco Tirado
;
Michael C. Huang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
profiling;
34.
Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis
机译:
基于工作需求分析的固定优先级实时系统的动态电压缩放算法
作者:
Woonseok Kim
;
Jihong Kim
;
Sang Lyul Min
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
real-time systems;
35.
Exploiting program hotspots and code sequentiality for instruction cache leakage management
机译:
利用程序热点和代码顺序进行指令缓存泄漏管理
作者:
J. S. Hu
;
A. Nadgir
;
N. Vijaykrishnan
;
M. J. Irwin
;
M. Kandemir
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
leakage power;
36.
Power-aware scheduling of conditional task graphs in real-time multiprocessor systems
机译:
实时多处理器系统中条件任务图的功耗感知调度
作者:
Dongkun Shin
;
Jihong Kim
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
real-time systems;
37.
Exploiting compiler-generated schedules for energy savings in high-performance processors
机译:
利用编译器生成的时间表来节省高性能处理器的能源
作者:
Madhavi Valluri
;
Lizy John
;
Heather Hanson
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
very long instruction word architectures;
38.
Energy-aware memory allocation in heterogeneous non-volatile memory systems
机译:
异构非易失性存储系统中的能量感知内存分配
作者:
Hyung Gyu Lee
;
Naehyuck Chang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
non-volatile memory;
39.
Energy characterization of a tiled architecture processor with on-chip networks
机译:
具有片上网络的平铺架构处理器的能量表征
作者:
Jason Sungtae Kim
;
Michael Bedford Taylor
;
Jason Miller
;
David Wentzlaff
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
tile;
40.
Low power RF IC design for wireless communication
机译:
用于无线通信的低功耗RF IC设计
作者:
Domine M.W. Leenaerts
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
wireless communication;
41.
A power-optimized widely-tunable 5-GHz monolithic VCO in a digital SOI CMOS technology on high resistivity substrate
机译:
在高电阻率基板上采用数字SOI CMOS技术的功率优化,可广泛优化的5 GHz单片VCO
作者:
Jonghae Kim
;
Jean-Olivier Plouchart
;
Noah Zamdmer
;
Melanie Sherony
;
Yue Tan
;
Meeyoung Yoon
;
Robert Trzcinski
;
Mohamed Talbi
;
John Safran
;
Asit Ray
;
Lawrence Wagner
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
phase NoiseFOM;
42.
A 0.123 mW 7.25 GHz static frequency divider by 8 in a 120-nm SOI technology
机译:
120nm SOI技术中的0.123 mW 7.25 GHz静态分频器乘以8
作者:
Jean-Olivier Plouchart
;
Jonghae Kim
;
Hector Recoules
;
Noah Zamdmer
;
Yue Tan
;
Melanie Sherony
;
Asit Ray
;
Lawrence Wagner
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low power;
43.
A 0.75-mW analog processor IC for wireless biosignal monitor
机译:
用于无线生物信号监控器的0.75mW模拟处理器IC
作者:
Chih-Jen Yen
;
Mely Chen Chi
;
Wen-Yaw Chung
;
Shing-Hao Lee
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
wireless;
44.
Integrated DC-DC converter design for improved WCDMA power amplifier efficiency in SiGe BiCMOS technology
机译:
集成的DC-DC转换器设计,可通过SiGe BiCMOS技术提高WCDMA功率放大器的效率
作者:
Drew Guckenberger
;
Kevin Kornegay
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
power amplifier;
45.
A novel high frequency, high-efficiency, differential class-E power amplifier in 0.18μm CMOS
机译:
采用0.18μmCMOS的新型高频,高效,差分E类功率放大器
作者:
Payam Heydari
;
Ying Zhang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
radio-frequency integrated circuits;
46.
Energy optimization techniques in cluster interconnects
机译:
集群互连中的能源优化技术
作者:
E. J. Kim
;
K. H. Yum
;
G. M. Link
;
N. Vijaykrishnan
;
M. Kandemir
;
M. J. Irwin
;
M. Yousif
;
C. R. Das
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
switch design;
47.
Uncertainty-based scheduling
机译:
基于不确定性的调度
作者:
Flavius Gruian
;
Krzysztof Kuchcinski
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
real-time scheduling;
48.
Energy efficiency and fairness tradeoffs in multi-resource, multi-tasking embedded systems
机译:
多资源,多任务嵌入式系统中的能源效率和公平性权衡
作者:
Sung I. Park
;
Vijay Raghunathan
;
Mani B. Srivastava
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low power design;
49.
Low power coordination in wireless ad-hoc networks
机译:
无线自组织网络中的低功耗协调
作者:
F. Koushanfar
;
A. Davare
;
D. T. Nguyen
;
M. Potkonjak
;
A. Sangiovanni-Vincentelli
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
wireless ad-hoc network;
50.
An environmental energy harvesting framework for sensor networks
机译:
传感器网络的环境能量收集框架
作者:
Aman Kansal
;
Mani B. Srivastava
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
task scheduling;
51.
Integrated architectural/physical planning approach for minimization of current surge in high performance clock-gated microprocessors
机译:
集成式架构/物理规划方法,可最大程度地降低高性能时钟门控微处理器中的电流浪涌
作者:
Yiran Chen
;
Kaushik Roy
;
Cheng-Kok Koh
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
power supply noise;
52.
Pipeline muffling and a priori current ramping
机译:
管道消声和先验电流上升
作者:
Michael D. Powell
;
T. N. Vijaykumar
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
pipeline muffling;
53.
Reducing reorder buffer complexity through selective operand caching
机译:
通过选择性操作数缓存降低重排序缓冲区的复杂性
作者:
Gurhan Kucuk
;
Dmitry Ponomarev
;
Oguz Ergin
;
Kanad Ghose
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
short-lived values;
54.
Routine based OS-aware microprocessor resource adaptation for run-time operating system power saving
机译:
基于例程的OS感知微处理器资源适配,可节省运行时操作系统的功耗
作者:
Tao Li
;
Lizy Kurian John
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
operating system;
55.
Ambient intelligence
机译:
环境智能
作者:
Werner Weber
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
wearable electronics;
56.
Reducing data cache energy consumption via cached load/store queue
机译:
通过缓存的加载/存储队列减少数据缓存的能耗
作者:
Dan Nicolaescu
;
Alex Veidenbaum
;
Alex Nicolau
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
store queue;
57.
On load latency in low-power caches
机译:
低功耗缓存中的加载延迟
作者:
Soontae Kim
;
N. Vijaykrishnan
;
M. J. Irwin
;
L. K. John
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low-power;
58.
Reducing energy and delay using efficient victim caches
机译:
使用有效的受害者缓存来减少能量和延迟
作者:
Gokhan Memik
;
Glenn Reinman
;
William H. Mangione-Smith
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
victim caches;
59.
Low cost instruction cache designs for tag comparison elimination
机译:
用于消除标签比较的低成本指令高速缓存设计
作者:
Youtao Zhang
;
Jun Yang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
tag comparison elimination;
60.
Lightweight set buffer
机译:
轻量级设置缓冲区
作者:
Jun Yang
;
Youtao Zhang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
multimedia;
61.
Non redundant data cache
机译:
非冗余数据缓存
作者:
Carlos Molina
;
Carles Aliagas
;
Montse Garcia
;
Antonio Gonzalez
;
Jordi Tubella
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
value replication;
62.
A critical analysis of application-adaptive multiple clock processors
机译:
对支持应用程序的多时钟处理器的严格分析
作者:
Emil Talpes
;
Diana Marculescu
会议名称:
《》
|
2003年
关键词:
simulation framework;
63.
Microprocessor pipeline energy analysis
机译:
微处理器管道能量分析
作者:
Karthik Natarajan
;
Heather Hanson
;
Stephen W. Keckler
;
Charles R. Moore
;
Doug Burger
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
speculation;
64.
Low power requirements for future digital life style
机译:
未来数字生活方式的低功耗要求
作者:
Ki Won Lee
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
software architecture;
65.
Evolution of low power electronics and its future applications
机译:
低功耗电子的发展及其未来应用
作者:
Tsugio Makimoto
;
Yoshio Sakai
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
robotics;
66.
A forward body-biased low-leakage SRAM cache
机译:
前向偏置的低泄漏SRAM高速缓存
作者:
Chris H. Kim
;
Jae-Joon Kim
;
Saibal Mukhopadhyay
;
Kaushik Roy
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
super high VinfT/inf;
67.
Reducing translation lookaside buffer active power
机译:
减少转换后备缓冲器的有功功率
作者:
Lawrence T. Clark
;
Byungwoo Choi
;
Michael Wilkerson
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
translation lookaside buffers;
68.
A power-aware SWDR cell for reducing cache write power
机译:
具有功耗意识的SWDR单元,可降低缓存的写功率
作者:
Yen-Jen Chang
;
Chia-Lin Yang
;
Feipei Lai
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
write power;
69.
A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime
机译:
耐噪声的高速缓存设计,可减少纳米范围内的门限和亚阈值泄漏
作者:
Amit Agarwal
;
Kaushik Roy
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low leakage cache;
70.
Understanding and minimizing ground bounce during mode transition of power gating structures
机译:
了解和最小化电源门控结构模式转换期间的地面反弹
作者:
Suhwan Kim
;
Stephen V. Kosonocky
;
Daniel R. Knebel
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
wake-up latency;
71.
Energy-efficient data scrambling on memory-processor interfaces
机译:
内存处理器接口上的节能数据加扰
作者:
Luca Benini
;
Angelo Galati
;
Alberto Macii
;
Enrico Macii
;
Massimo Poncino
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
power attacks;
72.
Analyzing the energy consumption of security protocols
机译:
分析安全协议的能耗
作者:
Nachiketh R. Potlapally
;
Srivaths Ravi
;
Anand Raghunathan
;
Niraj K. Jha
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
security protocols;
73.
LPBP
机译:
LPBP
作者:
Inseok Choi
;
Hyung Soo Kim
;
Heonshik Shin
;
Naehyuck Chang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low-power design;
74.
Estimating influence of data layout optimizations on SDRAM energy consumption
机译:
估算数据布局优化对SDRAM能耗的影响
作者:
H. S. Kim
;
N. Vijaykrishnan
;
M. Kandemir
;
E. Brockmeyer
;
F. Catthoor
;
M. J. Irwin
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
page break;
75.
Analysis of discharge techniques for multiple battery systems
机译:
多电池系统放电技术分析
作者:
Ravishankar Rao
;
Sarma Vrudhula
;
Daler Rakhmatov
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
multiple battery;
76.
A 225 MHz resonant clocked ASIC chip
机译:
225 MHz谐振时钟ASIC芯片
作者:
Conrad H. Ziesler
;
Joohee Kim
;
Visvesh S. Sathe
;
Marios C. Papaefthymiou
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
single phase;
77.
Energy recovery clocking scheme and flip-flops for ultra low-energy applications
机译:
能量恢复时钟方案和用于超低能耗应用的触发器
作者:
Matthew Cooke
;
Hamid Mahmoodi-Meimand
;
Kaushik Roy
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
flip-flop;
78.
A semi-custom voltage-island technique and its application to high-speed serial links
机译:
半定制电压岛技术及其在高速串行链路中的应用
作者:
Juan-Antonio Carballo
;
Jeffrey L. Burns
;
Seung-Moon Yoo
;
Ivan Vo
;
V. Robert Norman
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
voltage;
79.
UDSM (ultra-deep sub-micron)-aware post-layout power optimization for ultra low-power CMOS VLSI
机译:
用于超低功耗CMOS VLSI的UDSM(超深亚微米)感知布局后功率优化
作者:
Kyu-won Choi
;
Abhijit Chatterjee
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
time slack distribution;
80.
Full chip leakage estimation considering power supply and temperature variations
机译:
考虑电源和温度变化的完整芯片泄漏估算
作者:
Haihua Su
;
Frank Liu
;
Anirudh Devgan
;
Emrah Acar
;
Sani Nassif
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
thermal analysis;
81.
Statistical estimation of leakage current considering inter- and intra-die process variation
机译:
考虑芯片间和芯片内工艺变化的漏电流统计估计
作者:
Rajeev Rao
;
Ashish Srivastava
;
David Blaauw
;
Dennis Sylvester
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
variability;
82.
Leakage power modeling and optimization in interconnection networks
机译:
互连网络中的泄漏功率建模和优化
作者:
Xuning Chen
;
Li-Shiuan Peh
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
power optimization;
83.
Leakage and leakage sensitivity computation for combinational circuits
机译:
组合电路的泄漏和泄漏灵敏度计算
作者:
Emrah Acar
;
Anirudh Devgan
;
Rahul Rao
;
Ying Liu
;
Haihua Su
;
Sani Nassif
;
Jeffrey Burns
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
sensitivity;
84.
Efficient techniques for gate leakage estimation
机译:
栅极泄漏估算的有效技术
作者:
Rahul M. Rao
;
Jeffrey L. Burns
;
Anirudh Devgan
;
Richard B. Brown
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
pattern-independent;
85.
Simultaneous Vt selection and assignment for leakage optimization
机译:
同时Vt选择和分配以优化泄漏
作者:
Ankur Srivastava
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
thresh-hold voltage;
86.
Effective graph theoretic techniques for the generalized low power binding problem
机译:
广义低功率约束问题的有效图论技术
作者:
Azadeh Davoodi
;
Ankur Srivastava
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
low-power binding;
87.
Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization
机译:
通过联合分配阈值电压和尺寸优化来最大程度地减少动,静态功率
作者:
David Nguyen
;
Abhijit Davare
;
Michael Orshansky
;
David Chinnery
;
Brandon Thompson
;
Kurt Keutzer
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
sizing;
88.
Level conversion for dual-supply systems
机译:
双电源系统的电平转换
作者:
Fujio Ishihara
;
Farhana Sheikh
;
Borivoje NikoliC
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
level conversion;
89.
New optimal design strategies and analysis of ultra-low leakage circuits for nano-scale SOI technology
机译:
纳米SOI技术的超低漏电电路新的优化设计策略与分析
作者:
Koushik K. Das
;
Rajiv V. Joshi
;
Ching-Te Chuang
;
Peter W. Cook
;
Richard B. Brown
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
leakage-power;
90.
Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation
机译:
考虑参数变化影响的纳米级CMOS器件中总泄漏电流的建模和估计
作者:
Saibal Mukhopadhyay
;
Kaushik Roy
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
variability;
91.
A clock delayed sleep mode domino logic for wide dynamic OR gate
机译:
具有宽动态或门的时钟延迟睡眠模式多米诺逻辑
作者:
Kwang-Il Oh
;
Lee-Sup Kim
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
sleep mode;
92.
Strained-si devices and circuits for low-power applications
机译:
用于低功耗应用的应变si器件和电路
作者:
Keunwoo Kim
;
Rajiv V. Joshi
;
Ching-Te Chuang
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
strained-Si MOSFET;
93.
Low power startup circuits for voltage and current reference with zero steady state current
机译:
低功耗启动电路,用于零静态电流的电压和电流基准
作者:
Qadeer Ahmad Khan
;
Sanjay Kumar Wadhwa
;
Kulbhushan Misri
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
voltage reference;
94.
Reverse-order source/drain formation with double offset spacer (RODOS) for CMOS low-power, high-speed and low-noise amplifiers
机译:
具有双偏移间隔器(RODOS)的逆序源极/漏极形成,用于CMOS低功耗,高速和低噪声放大器
作者:
Woo Young Choi
;
Jong Duk Lee
;
Byung-Gook Park
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
reverse-order;
95.
Temperature and process invariant MOS-based reference current generation circuits for sub-1V operation
机译:
低于1V的工作温度和过程不变的基于MOS的参考电流生成电路
作者:
Stephen Tang
;
Siva Narendra
;
Vivek De
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
reference current;
96.
Electric-energy generation using variable-capacitive resonator for power-free LSI
机译:
使用可变电容谐振器的无电LSI发电
作者:
Masayuki Miyazaki
;
Hidetoshi Tanaka
;
Goichi Ono
;
Tomohiro Nagano
;
Norio Ohkubo
;
Takayuki Kawahara
;
Kazuo Yano
会议名称:
《International symposium on Low power electronics and design》
|
2003年
关键词:
vibration energy;
意见反馈
回到顶部
回到首页