掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
2011 16th Asia and South Pacific Design Automation Conference
2011 16th Asia and South Pacific Design Automation Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Thermally optimal stop-go scheduling of task graphs with real-time constraints
机译:
具有实时约束的任务图的热最优停停调度
作者:
Kumar P.
;
Thiele L.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
2.
Register allocation for write activity minimization on non-volatile main memory
机译:
寄存器分配,以减少非易失性主存储器上的写活动
作者:
Yazhi Huang
;
Tiantian Liu
;
Xue C.J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
3.
Pulser gating: A clock gating of pulsed-latch circuits
机译:
脉冲门控:脉冲锁存电路的时钟门控
作者:
Sangmin Kim
;
Inhak Han
;
Seungwhun Paik
;
Youngsoo Shin
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
4.
Hierarchical exact symbolic analysis of large analog integrated circuits by symbolic stamps
机译:
通过符号标记对大型模拟集成电路进行分层的精确符号分析
作者:
Hui Xu
;
Guoyong Shi
;
Xiaopeng Li
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
5.
The impact of inverse narrow width effect on sub-threshold device sizing
机译:
逆窄宽度效应对亚阈值器件尺寸的影响
作者:
Jun Zhou
;
Jayapal S.
;
Stuyt J.
;
Huisken J.
;
de Groot H.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
6.
Run-time adaptive performance compensation using on-chip sensors
机译:
使用片上传感器的运行时自适应性能补偿
作者:
Hashimoto M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
7.
CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits
机译:
CELONCEL:针对高性能集成电路的3-D单片集成的有效设计技术
作者:
Bobba S.
;
Chakraborty A.
;
Thomas O.
;
Batude P.
;
Ernst T.
;
Faynot O.
;
Pan D.Z.
;
De Micheli G.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
3-D monolithic Integration;
Optimization;
Partitioning;
Placement;
Standard cell;
8.
OPAL: A multi-layer hybrid photonic NoC for 3D ICs
机译:
OPAL:用于3D IC的多层混合光子NoC
作者:
Pasricha S.
;
Bahirat S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
9.
A 58–63.6GHz quadrature PLL frequency synthesizer using dual-injection technique
机译:
采用双注入技术的58–63.6GHz正交PLL频率合成器
作者:
Musa A.
;
Murakami R.
;
Sato T.
;
Chaivipas W.
;
Okada K.
;
Matsuzawa A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
10.
An ultra-low-voltage LC-VCO with a frequency extension circuit for future 0.5-V clock generation
机译:
具有频率扩展电路的超低压LC-VCO,可用于未来的0.5V时钟生成
作者:
Wei Deng
;
Okada K.
;
Matsuzawa A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
11.
A 32Gbps low propagation delay 4×4 switch IC for feedback-based system in 0.13μm CMOS technology
机译:
采用0.13μmCMOS技术的基于反馈的系统的32Gbps低传播延迟4×4开关IC
作者:
Yu-Hao Hsu
;
Yang-Syu Lin
;
Ching-Te Chiu
;
Jen-Ming Wu
;
Shuo-Hung Hsu
;
Fan-Ta Chen
;
Min-Sheng Kao
;
Wei-Chih Lai
;
YarSun Hsu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
12.
A fully integrated shock wave transmitter with an on-chip dipole antenna for pulse beam-formability in 0.18-μm CMOS
机译:
完全集成的冲击波发射器,带有片上偶极子天线,可在0.18μmCMOS中形成脉冲束
作者:
Nguyen Ngoc Mai Khanh
;
Sasaki M.
;
Asada K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
13.
An on-chip characterizing system for within-die delay variation measurement of individual standard cells in 65-nm CMOS
机译:
片上表征系统,用于在65 nm CMOS中测量单个标准单元的晶粒内延迟变化
作者:
Xin Zhang
;
Ishida K.
;
Takamiya M.
;
Sakurai T.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
14.
Robust and efficient baseband receiver design for MB-OFDM UWB system
机译:
MB-OFDM UWB系统的稳健高效的基带接收机设计
作者:
Wen Fan
;
Chiu-Sing Choy
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
15.
A 95-nA, 523ppm/°C, 0.6-μW CMOS current reference circuit with subthreshold MOS resistor ladder
机译:
具有亚阈值MOS电阻阶梯的95nA,523ppm /°C,0.6μWCMOS电流基准电路
作者:
Osaki Y.
;
Hirose T.
;
Kuroki N.
;
Numa M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
16.
A 80–400 MHz 74 dB-DR Gm-C low-pass filter with a unique auto-tuning system
机译:
具有独特的自动调谐系统的80–400 MHz 74 dB-DR Gm-C低通滤波器
作者:
Ting Gao
;
Wei Li
;
Ning Li
;
Junyan Ren
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
17.
An adaptively biased low-dropout regulator with transient enhancement
机译:
具有瞬态增强的自适应偏置低压降稳压器
作者:
Chenchang Zhan
;
Wing-Hung Ki
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
18.
A low-power triple-mode sigma-delta DAC for reconfigurable (WCDMA/TD-SCDMA/GSM) transmitters
机译:
适用于可重构(WCDMA / TD-SCDMA / GSM)发射机的低功耗三模Σ-ΔDAC
作者:
Dong Qiu
;
Ting Yi
;
Zhiliang Hong
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
19.
Analog circuit verification by statistical model checking
机译:
通过统计模型检查进行模拟电路验证
作者:
Ying-Chih Wang
;
Komuravelli A.
;
Zuliani P.
;
Clarke E.M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
20.
A simple non-coherent solution to the UWB-IR communication
机译:
UWB-IR通信的简单非相干解决方案
作者:
Hafiz M.
;
Sasaki N.
;
Kimoto K.
;
Kikkawa T.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
21.
A structured parallel periodic Arnoldi shooting algorithm for RF-PSS analysis based on GPU platforms
机译:
基于GPU平台的结构化并行周期性Arnoldi射击算法用于RF-PSS分析
作者:
Xue-Xin Liu
;
Hao Yu
;
Relles J.
;
Tan S.X.-D.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
22.
Leakage conscious DVS scheduling for peak temperature minimization
机译:
有泄漏意识的DVS调度可最大程度地降低峰值温度
作者:
Chaturvedi V.
;
Gang Quan
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
23.
Reconfiguration-aware real-time scheduling under QoS constraint
机译:
QoS约束下可重新配置的实时调度
作者:
Kooti H.
;
Mishra D.
;
Bozorgzadeh E.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
24.
Template-based memory access engine for accelerators in SoCs
机译:
基于模板的内存访问引擎,用于SoC中的加速器
作者:
Bin Li
;
Zhen Fang
;
Iyer R.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
25.
Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems
机译:
基于片上网络的多核系统中顺序和弱存储器一致性模型的实现和性能比较
作者:
Naeem A.
;
Xiaowen Chen
;
Zhonghai Lu
;
Jantsch A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
26.
Network-on-Chip router design with Buffer-Stealing
机译:
带有缓冲区填充功能的片上网络路由器设计
作者:
Wan-Ting Su
;
Jih-Sheng Shen
;
Pao-Ann Hsiung
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
27.
Minimizing buffer requirements for throughput constrained parallel execution of synchronous dataflow graph
机译:
最小化吞吐量限制同步数据流图的并行执行的缓冲区要求
作者:
Tae-ho Shin
;
Hyunok Oh
;
Soonhoi Ha
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
28.
A fast approximation technique for power grid analysis
机译:
电网分析的快速逼近技术
作者:
Sriram M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
29.
Equivalent lumped element models for various n-port Through Silicon Vias networks
机译:
各种n端口直通硅通孔网络的等效集总元件模型
作者:
Salah K.
;
Ragai H.
;
Ismail Y.
;
El Rouby A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
Dimensional Analysis;
Modeling;
TSV;
Three-Dimensional ICs;
Through Silicon Via;
30.
Clock tree optimization for Electromagnetic Compatibility (EMC)
机译:
电磁兼容性(EMC)的时钟树优化
作者:
Xuchu Hu
;
Guthaus M.R.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
31.
Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC
机译:
面向移动SoC和3D-IC的嵌入式存储器和电阻RAM(RRAM)中的电路设计挑战
作者:
Meng-Fan Chang
;
Pi-Feng Chiu
;
Shyh-Shyuan Sheu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
32.
Emerging sensing techniques for emerging memories
机译:
用于新兴记忆的新兴传感技术
作者:
Yiran Chen
;
Hai Li
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
33.
A frequent-value based PRAM memory architecture
机译:
基于频繁值的PRAM存储器架构
作者:
Guangyu Sun
;
Dimin Niu
;
Jin Ouyang
;
Yuan Xie
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
34.
Two-terminal resistive switches (memristors) for memory and logic applications
机译:
用于存储器和逻辑应用的两端电阻式开关(忆阻器)
作者:
Wei Lu
;
Kuk-Hwan Kim
;
Ting Chang
;
Gaba S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
RRAM;
crossbar;
memristor;
neuromorphic circuit;
35.
Co-design of cyber-physical systems via controllers with flexible delay constraints
机译:
通过具有灵活延迟约束的控制器共同设计网络物理系统
作者:
Goswami D.
;
Schneider R.
;
Chakraborty S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
36.
Enhanced Heterogeneous Code Cache management scheme for Dynamic Binary Translation
机译:
用于动态二进制翻译的增强的异构代码缓存管理方案
作者:
Ang-Chih Hsieh
;
Chun-Cheng Liu
;
TingTing Hwang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
37.
Fast hybrid simulation for accurate decoded video quality assessment on MPSoC platforms with resource constraints
机译:
快速混合仿真可在具有资源限制的MPSoC平台上进行准确的解码视频质量评估
作者:
Gangadharan D.
;
Chakraborty S.
;
Zimmermann R.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
38.
On the interplay of loop caching, code compression, and cache configuration
机译:
关于循环缓存,代码压缩和缓存配置的相互作用
作者:
Rawlins M.
;
Gordon-Ross A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
39.
Path criticality computation in parameterized statistical timing analysis
机译:
参数化统计时序分析中的路径临界度计算
作者:
Jaeyong Chung
;
Jinjun Xiong
;
Zolotov V.
;
Abraham J.A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
40.
Geometry variations analysis of TiO
2
thin-film and spintronic memristors
机译:
TiO
2 inf>薄膜和自旋电子忆阻器的几何变化分析
作者:
Miao Hu
;
Hai Li
;
Yiran Chen
;
Xiaobin Wang
;
Pino R.E.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
41.
Run-time adaptable on-chip thermal triggers
机译:
运行时自适应的片上热触发
作者:
Kumar P.
;
Atienza D.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
42.
Rethinking thermal via planning with timing-power-temperature dependence for 3D ICs
机译:
通过规划与时序电源温度相关的3D IC来重新考虑散热
作者:
Kan Wang
;
Yuchun Ma
;
Sheqin Dong
;
Yu Wang
;
Xianlong Hong
;
Cong J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
43.
Post-silicon bug detection for variation induced electrical bugs
机译:
硅后缺陷检测,用于检测变化引起的电气缺陷
作者:
Ming Gao
;
Lisherness P.
;
Kwang-Ting Cheng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
44.
Diagnosis-assisted supply voltage configuration to increase performance yield of cell-based designs
机译:
诊断辅助电源电压配置可提高基于电池的设计的性能产量
作者:
Jing-Jia Liou
;
Ying-Yen Chen
;
Chun-Chia Chen
;
Chung-Yen Chien
;
Kuo-Li Wu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
45.
The alarms project: A hardware/software approach to addressing parameter variations
机译:
警报项目:解决参数变化的硬件/软件方法
作者:
Brooks D.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
46.
Automatic formal verification of reconfigurable DSPs
机译:
可重构DSP的自动形式验证
作者:
Velev M.N.
;
Ping Gao
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
47.
SoC HW/SW verification and validation
机译:
SoC硬件/软件验证和确认
作者:
Chung-Yang Huang
;
Yu-Fan Yin
;
Chih-Jen Hsu
;
Huang T.B.
;
Ting-Mao Chang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
48.
Utilizing high level design information to speed up post-silicon debugging
机译:
利用高级设计信息加速后硅调试
作者:
Fujita M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
49.
From RTL to silicon: The case for automated debug
机译:
从RTL到芯片:自动调试的案例
作者:
Veneris A.
;
Keng B.
;
Safarpour S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
50.
Multi-core parallel simulation of System-level Description Languages
机译:
系统级描述语言的多核并行仿真
作者:
Domer R.
;
Weiwei Chen
;
Xu Han
;
Gerstlauer A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
51.
AdaMS: Adaptive MLC/SLC phase-change memory design for file storage
机译:
AdaMS:用于文件存储的自适应MLC / SLC相变存储器设计
作者:
Xiangyu Dong
;
Yuan Xie
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
52.
Variation-aware logic mapping for crossbar nano-architectures
机译:
交叉纳米结构的变体感知逻辑映射
作者:
Zamani M.
;
Tahoori M.B.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
53.
Routing with graphene nanoribbons
机译:
用石墨烯纳米带布线
作者:
Tan Yan
;
Qiang Ma
;
Chilstedt S.
;
Wong M.D.F.
;
Deming Chen
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
54.
ILP-based inter-die routing for 3D ICs
机译:
用于3D IC的基于ILP的管芯间路由
作者:
Chia-Jen Chang
;
Pao-Jen Huang
;
Tai-Chen Chen
;
Liu C.-N.J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
55.
Enabling quality-of-service in nanophotonic network-on-chip
机译:
在纳米光子片上网络中实现服务质量
作者:
Jin Ouyang
;
Yuan Xie
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
56.
Vertical interconnects squeezing in symmetric 3D mesh Network-on-Chip
机译:
对称3D网格片上网络中的垂直互连挤压
作者:
Cheng Liu
;
Lei Zhang
;
Yinhe Han
;
Xiaowei Li
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
57.
Power-efficient tree-based multicast support for Networks-on-Chip
机译:
片上网络的基于功率效率树的多播支持
作者:
Wenmin Hu
;
Zhonghai Lu
;
Jantsch A.
;
Hengzhu Liu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
58.
Area-efficient FPGA logic elements: Architecture and synthesis
机译:
面积高效的FPGA逻辑元素:架构和综合
作者:
Anderson J.H.
;
Qiang Wang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
59.
System accuracy estimation of SRAM-based device authentication
机译:
基于SRAM的设备认证的系统精度估计
作者:
Joonsoo Kim
;
Joonsoo Lee
;
Abraham J.A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
60.
Selectively patterned masks: Structured ASIC with asymptotically ASIC performance
机译:
选择性图案化的遮罩:具有渐近ASIC性能的结构化ASIC
作者:
Donkyu Baek
;
Insup Shin
;
Seungwhun Paik
;
Youngsoo Shin
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
61.
A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimization
机译:
通过资源约束感知技术映射和增量路由优化实现强大的ECO引擎
作者:
Shao-Lun Huang
;
Chi-An Wu
;
Kai-Fu Tang
;
Chang-Hong Hsu
;
Chung-Yang Huang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
ECO;
spare cell;
technology mapping;
62.
SETmap: A soft error tolerant mapping algorithm for FPGA designs with low power
机译:
SETmap:一种用于低功耗FPGA设计的软容错映射算法
作者:
Chi-Chen Peng
;
Chen Dong
;
Deming Chen
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
63.
All-out fight against yield losses by design-manufacturing collaboration in nano-lithography era
机译:
通过纳米光刻时代的设计制造合作,全力应对产量损失
作者:
Inoue S.
;
Kobayashi S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
64.
EUV lithography: Prospects and challenges
机译:
EUV光刻:前景与挑战
作者:
Sivakumar S.
会议名称:
《》
|
2011年
65.
Future electron-beam lithography and implications on design and CAD tools
机译:
未来的电子束光刻技术及其对设计和CAD工具的影响
作者:
Chen J.J.H.
;
Krecinic F.
;
Jen-Hom Chen
;
Chen R.P.S.
;
Lin B.J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
66.
Exploration of VLSI CAD researches for early design rule evaluation
机译:
探索用于早期设计规则评估的VLSI CAD研究
作者:
Chul-Hong Park
;
Pan D.Z.
;
Lucas K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
67.
Handling dynamic frequency changes in statically scheduled cycle-accurate simulation
机译:
在静态调度的周期精确仿真中处理动态频率变化
作者:
Gligor M.
;
Petrot F.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
68.
Coarse-grained simulation method for performance evaluation a of shared memory system
机译:
共享存储系统性能评估的粗粒度仿真方法
作者:
Kawahara R.
;
Nakamura K.
;
Ono K.
;
Nakada T.
;
Sakamoto Y.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
69.
T-SPaCS — A two-level single-pass cache simulation methodology
机译:
T-SPaCS —二级单遍缓存模拟方法
作者:
Wei Zang
;
Gordon-Ross A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
70.
Fast data-cache modeling for native co-simulation
机译:
用于本地协同仿真的快速数据缓存建模
作者:
Posadas H.
;
Díaz L.
;
Villar E.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
Cache modelling;
Electronic System Level;
Embedded SW;
71.
Managing complexity in design debugging with sequential abstraction and refinement
机译:
通过顺序抽象和优化来管理设计调试中的复杂性
作者:
Keng B.
;
Veneris A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
72.
Facilitating unreachable code diagnosis and debugging
机译:
促进无法访问的代码诊断和调试
作者:
Hong-Zu Chou
;
Kai-Hui Chang
;
Sy-Yen Kuo
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
73.
Ultra-low power microcontrollers for portable, wearable, and implantable medical electronics
机译:
适用于便携式,可穿戴和可植入医疗电子设备的超低功耗微控制器
作者:
Sridhara S.R.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
74.
Human++: Wireless autonomous sensor technology for body area networks
机译:
Human ++:用于人体局域网的无线自主传感器技术
作者:
Pop V.
;
de Francisco R.
;
Pflug H.
;
Santana J.
;
Visser H.
;
Vullers R.
;
de Groot H.
;
Gyselinckx B.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
75.
Temporal and spatial isolation in a virtualization layer for multi-core processor based information appliances
机译:
虚拟化层中用于基于多核处理器的信息设备的时间和空间隔离
作者:
Nakajima T.
;
Kinebuchi Y.
;
Shimada H.
;
Courbot A.
;
Tsung-Han Lin
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
76.
Mathematical limits of parallel computation for embedded systems
机译:
嵌入式系统并行计算的数学极限
作者:
Loew J.
;
Elwell J.
;
Ponomarev D.
;
Madden P.H.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
77.
Energy/reliability trade-offs in fault-tolerant event-triggered distributed embedded systems
机译:
容错事件触发的分布式嵌入式系统中的能量/可靠性权衡
作者:
Junhe Gan
;
Gruian F.
;
Pop P.
;
Madsen J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
78.
A H.264/MPEG-2 dual mode video decoder chip supporting temporal/spatial scalable video
机译:
H.264 / MPEG-2双模式视频解码器芯片,支持时间/空间可伸缩视频
作者:
Cheng-An Chien
;
Yao-Chang Yang
;
Hsiu-Cheng Chang
;
Jia-Wei Chen
;
Cheng-Yen Chang
;
Jiun-In Guo
;
Jinn-Shyan Wang
;
Ching-Hwa Cheng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
79.
Fault diagnosis aware ATE assisted test response compaction
机译:
具备故障诊断能力的ATE辅助测试响应压缩
作者:
Howard J.M.
;
Reddy S.M.
;
Pomeranz I.
;
Becker B.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
80.
Secure scan design using shift register equivalents against differential behavior attack
机译:
使用移位寄存器等效物进行安全扫描设计,以防止差分行为攻击
作者:
Fujiwara H.
;
Fujiwara K.
;
Tamamoto H.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
81.
On the design and analysis of fault tolerant NoC architecture using spare routers
机译:
关于使用备用路由器的容错NoC架构的设计和分析
作者:
Yung-Chang Chang
;
Ching-Te Chiu
;
Shih-Yin Lin
;
Chung-Kai Liu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
82.
On-chip hybrid power supply system for wireless sensor nodes
机译:
用于无线传感器节点的片上混合电源系统
作者:
Wulong Liu
;
Yu Wang
;
Wei Liu
;
Yuchun Ma
;
Yuan Xie
;
Huazhong Yang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
83.
A resilient on-chip router design through data path salvaging
机译:
通过挽救数据路径的弹性片上路由器设计
作者:
Cheng Liu
;
Lei Zhang
;
Yinhe Han
;
Xiaowei Li
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
84.
NS-FTR: A fault tolerant routing scheme for networks on chip with permanent and runtime intermittent faults
机译:
NS-FTR:具有永久性和运行时间歇性故障的片上网络的容错路由方案
作者:
Pasricha S.
;
Yong Zou
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
85.
A thermal-aware application specific routing algorithm for Network-on-Chip design
机译:
片上网络设计的热感知专用路由算法
作者:
Zhiliang Qian
;
Chi-Ying Tsui
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
86.
An efficient hybrid engine to perform range analysis and allocate integer bit-widths for arithmetic circuits
机译:
一个高效的混合引擎,可以执行范围分析并为算术电路分配整数位宽
作者:
Yu Pang
;
Radecka K.
;
Zilic Z.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
87.
Register pressure aware scheduling for high level synthesis
机译:
注册压力感知调度以进行高级综合
作者:
Beidas R.
;
Wai Sum Mong
;
Jianwen Zhu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
88.
Parallel cross-layer optimization of high-level synthesis and physical design
机译:
并行跨层优化高级综合和物理设计
作者:
Williamson J.
;
Yinghai Lu
;
Li Shang
;
Hai Zhou
;
Xuan Zeng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
89.
Network flow-based simultaneous retiming and slack budgeting for low power design
机译:
基于网络流的同时重定时和低预算的低功耗设计
作者:
Bei Yu
;
Sheqin Dong
;
Yuchun Ma
;
Tao Lin
;
Yu Wang
;
Song Chen
;
Goto S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
90.
Deterministic test for the reproduction and detection of board-level functional failures
机译:
复制和检测板级功能故障的确定性测试
作者:
Hongxia Fang
;
Zhiyuan Wang
;
Xinli Gu
;
Chakrabarty K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
91.
A moment-matching scheme for the passivity-preserving model order reduction of indefinite descriptor systems with possible polynomial parts
机译:
具有不定多项式部分的不确定描述符系统的无源保持模型阶约的矩匹配方案
作者:
Zheng Zhang
;
Qing Wang
;
Ngai Wong
;
Daniel L.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
92.
Equivalence checking of scheduling with speculative code transformations in high-level synthesis
机译:
高级综合中具有推测性代码转换的调度的等价性检查
作者:
Chi-Hui Lee
;
Che-Hua Shih
;
Juinn-Dar Huang
;
Jing-Yang Jou
会议名称:
《》
|
2011年
93.
An optimal algorithm for allocation, placement, and delay assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designs
机译:
可调延迟缓冲器的分配,布局和延迟分配的最佳算法,可在多电压模式设计中最大程度地减小时钟偏斜
作者:
Kyuong-Hwan Lim
;
Taewhan Kim
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
94.
On applying erroneous clock gating conditions to further cut down power
机译:
在应用错误的时钟门控条件以进一步降低功耗时
作者:
Tak-Kei Lam
;
Xiaoqing Yang
;
Wai-Chung Tang
;
Yu-Liang Wu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
clock gating;
error cancellation;
logic synthesis;
low power;
95.
Low power discrete voltage assignment under clock skew scheduling
机译:
时钟偏斜调度下的低功率离散电压分配
作者:
Li Li
;
Jian Sun
;
Yinghai Lu
;
Hai Zhou
;
Xuan Zeng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
96.
A practical method for multi-domain clock skew optimization
机译:
一种多域时钟偏斜优化的实用方法
作者:
Yanling Zhi
;
Hai Zhou
;
Xuan Zeng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
97.
Efficient multi-layer obstacle-avoiding preferred direction rectilinear Steiner tree construction
机译:
高效多层避障首选方向直线斯坦纳树构造
作者:
Jia-Ru Chuang
;
Jai-Ming Lin
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
Multi-Layer;
Obstacle-Avoiding;
Preferred Direction;
Rectilinear Steiner Tree;
Routing;
98.
Cut-demand based routing resource allocation and consolidation for routability enhancement
机译:
基于按需分配的路由资源分配和合并,以增强路由能力
作者:
Fong-Yuan Chang
;
Sheng-Hsiung Chen
;
Ren-Song Tsay
;
Wai-Kei Mak
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
99.
Negotiation-based layer assignment for via count and via overflow minimization
机译:
基于协商的层分配,用于通过计数和通过最小化溢出
作者:
Wen-Hao Liu
;
Yih-Lang Li
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
100.
Balanced truncation for time-delay systems via approximate Gramians
机译:
通过近似Gramians进行时滞系统的平衡截断
作者:
Xiang Wang
;
Qing Wang
;
Zheng Zhang
;
Quan Chen
;
Ngai Wong
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
意见反馈
回到顶部
回到首页