掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
37th European Solid-State Circuits Conference
37th European Solid-State Circuits Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
915-MHz wireless 64-channel neural recording SoC with programmable mixed-signal FIR filters
机译:
带有可编程混合信号FIR滤波器的915MHz无线64通道神经记录SoC
作者:
Abdelhalim Karim
;
Genov Roman
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
2.
A 1.95GHz sub-1dB NF, +40dBm OIP3 WCDMA LNA with variable attenuation in SiGe:C BiCMOS
机译:
在SiGe:C BiCMOS中具有可变衰减的1.95GHz低于1dB NF,+ 40dBm OIP3 WCDMA LNA
作者:
Bergervoet J.
;
Leenaerts D.
;
de Jong G.
;
van der Heijden E.
;
Lobeek J-W
;
Simin A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
3.
Fully balanced low-noise transconductance amplifiers with P1dB > 0dBm in 45nm CMOS
机译:
在45nm CMOS中具有P1dB> 0dBm的全平衡低噪声跨导放大器
作者:
Geddada Hemasundar M.
;
Silva-Martinez Jose
;
Taylor Stewart S.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
4.
A 6.4mW, 1–3.5GHz current-mode receiver front-end with noise cancellation
机译:
具有噪声消除功能的6.4mW,1-3.5GHz电流模式接收器前端
作者:
Rodriguez S.
;
Rusu A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
5.
A 200GHz downconverter in 90nm CMOS
机译:
采用90nm CMOS的200GHz下变频器
作者:
Tytgat Maarten
;
Steyaert Michiel
;
Reynaert Patrick
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
6.
Transistor aging-induced degradation of analog circuits: Impact analysis and design guidelines
机译:
晶体管老化引起的模拟电路退化:影响分析和设计准则
作者:
Maricau Elie
;
Gielen Georges
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
7.
A failure-resilient xDSL line driver with on-chip degradation monitor
机译:
具有故障恢复能力的xDSL线路驱动器,带有片上降级监控器
作者:
De Wit Pieter
;
Gielen Georges
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
8.
An aging suppression and calibration approach for differential amplifiers in advanced CMOS technologies
机译:
先进CMOS技术中差分放大器的老化抑制和校准方法
作者:
Chouard Florian Raoul
;
More Shailesh
;
Fulde Michael
;
Schmitt-Landsiedel Doris
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
9.
A 20–23GHz Coupled Oscillators Array in 65nm CMOS for HDR 60GHz beamforming applications
机译:
适用于HDR 60GHz波束成形应用的65nm CMOS的20-23GHz耦合振荡器阵列
作者:
Egot Mathieu
;
Martineau Baudouin
;
Richard Olivier
;
Rolland Nathalie
;
Cathelin Andreia
;
Kaiser Andreas
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
10.
A 53-nW 9.12-ENOB 1-kS/s SAR ADC in 0.13-μm CMOS for medical implant devices
机译:
用于医疗植入设备的0.13μmCMOS的53nW 9.12-ENOB 1-kS / s SAR ADC
作者:
Zhang Dai
;
Bhide Ameya
;
Alvandpour Atila
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
11.
A 40nm 50S/s–8MS/s ultra low voltage SAR ADC with timing optimized asynchronous clock generator
机译:
具有时序优化的异步时钟发生器的40nm 50S / s–8MS / s超低压SAR ADC
作者:
Sekimoto Ryota
;
Shikata Akira
;
Kuroda Tadahiro
;
Ishikuro Hiroki
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
12.
A high energy-efficiency SAR ADC based on partial floating capacitor switching technique
机译:
基于部分浮动电容器开关技术的高能效SAR ADC
作者:
Kuo Chien-Hung
;
Hsieh Cheng-En
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
13.
An ultra low power bandgap operational at supply as low as 0.75V
机译:
可在低至0.75V的电源下运行的超低功耗带隙
作者:
Ivanov Vadim
;
Gerber Johannes
;
Brederlow Ralf
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
14.
A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power memory using dynamic cell stability and a dual swing data link
机译:
采用动态单元稳定性和双摆幅数据链路的65 nm,850 MHz,256 kbit,4.3 pJ /访问,超低泄漏功率存储器
作者:
Rooseleer Bram
;
Cosemans Stefan
;
Dehaene Wim
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
15.
A low leakage 500MHz 2T embedded dynamic memory with integrated semi-transparent refresh
机译:
具有集成半透明刷新的低泄漏500MHz 2T嵌入式动态存储器
作者:
Vignon Anselme
;
Cosemans Stefan
;
Dehaene Wim
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
16.
A 128-bit chip identification generating scheme exploiting SRAM bitcells with failure rate of 4.45 × 10
−19
机译:
利用故障率为4.45×10
−19 sup>的SRAM位单元的128位芯片识别生成方案
作者:
Okumura Shunsuke
;
Yoshimoto Shusuke
;
Kawaguchi Hiroshi
;
Yoshimoto Masahiko
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
17.
A +32 dBm 1.85 GHz class-D outphasing RF PA in 130nm CMOS for WCDMA/LTE
机译:
适用于WCDMA / LTE的130nm CMOS中的+32 dBm 1.85 GHz D类移相RF PA
作者:
Fritzin Jonas
;
Svensson Christer
;
Alvandpour Atila
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
关键词:
CMOS;
outphasing;
power amplifier;
18.
Circuit-aware device reliability criteria methodology
机译:
电路感知设备可靠性标准方法
作者:
Ryan J. T.
;
Wei L.
;
Campbell J. P.
;
Southwick R. G.
;
Cheung K. P.
;
Oates A. S.
;
Wong H.-S. P.
;
Suehle J.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
19.
A 4mW 1 GS/s continuous-time ΔΣ modulator with 15.6MHz bandwidth and 67 dB dynamic range
机译:
具有15.6MHz带宽和67dB动态范围的4mW 1 GS / s连续时间ΔΣ调制器
作者:
Jain Ankesh
;
Venkateswaran Muthusubramanian
;
Pavan Shanthi
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
20.
A 4
th
order subsampled RF ∑Δ ADC centered at 2.4GHz with a sine-shaped feedback DAC
机译:
具有正弦形反馈DAC,中心频率为2.4GHz的4
sup>次采样RF ∑Δ ADC
作者:
Ashry Ahmed
;
Aboushady Hassan
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
21.
1.4V 13μW 83dB DR CT-ΣΔ modulator with Dual-Slope quantizer and PWM DAC for biopotential signal acquisition
机译:
具有双斜率量化器和PWM DAC的1.4V13μW83dB DRCT-ΣΔ调制器,用于生物电势信号采集
作者:
Cannillo Francesco
;
Prefasi Enrique
;
Hernandez Luis
;
Pun Ernesto
;
Yazicioglu F.
;
Van Hoof C.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
22.
High-swing class-C VCO
机译:
高摆幅C类VCO
作者:
Tohidian Massoud
;
Fotowat-Ahmadi Ali
;
Kamarei Mahmoud
;
Ndagijimana Fabien
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
23.
Variation tolerant digitally assisted high-speed IO PHY
机译:
耐变化的数字辅助高速IO PHY
作者:
Roytman Eduard
;
Nagarajan Mali
;
Shah Rahul
;
Ma Xin
;
Bedard Ronald
;
Munshi Kambiz
;
Iknaian Russell
;
Cai Fengxiang
;
Xu Jian
;
Devi Gayathri Sridharan
;
Vempada Pradeep
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
24.
A 1.2–6 Gb/s, 4.2 pJ/bit Clock Data Recovery circuit with high jitter tolerance in 0.14μm CMOS
机译:
一个1.2-6 Gb / s,4.2 pJ / bit的时钟和数据恢复电路,具有0.14μmCMOS的高抖动容限
作者:
van der Wel A. P.
;
den Besten G. W.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
25.
A true single SoC for UHF mobile RFID reader
机译:
适用于UHF移动RFID阅读器的真正单芯片
作者:
Kim Jongmoon
;
Yun Seokoh
;
Oh Wonkab
;
Kil Minsu
;
Cho Sanghyun
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
26.
An ultra-low power 400MHz OOK transceiver for medical implanted applications
机译:
用于医疗植入应用的超低功耗400MHz OOK收发器
作者:
Liu Junhua
;
Li Chen
;
Chen Long
;
Xiao Yehui
;
Wang Jiayi
;
Liao Huailin
;
Huang Ru
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
27.
A 90nm CMOS UHF/UWB asymmetric transceiver for RFID readers
机译:
用于RFID读取器的90nm CMOS UHF / UWB非对称收发器
作者:
Mao Jia
;
Sarmiento M. David
;
Zhou Qin
;
Chen Jian
;
Wang Peng
;
Zou Zhuo
;
Jonsson Fredrik
;
Zheng Li-Rong
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
28.
On-chip resonant supply noise reduction utilizing switched parasitic capacitors of sleep blocks with tri-mode power gating structure
机译:
利用具有三模功率门控结构的睡眠模块的开关寄生电容器来降低片上谐振电源噪声
作者:
Kim Jinmyoung
;
Nakura Toru
;
Takata Hidehiro
;
Ishibashi Koichiro
;
Ikeda Makoto
;
Asada Kunihiro
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
29.
A 73μW 400Mbps stress tolerant 1.8V-3.6V driver in 40nm CMOS
机译:
在40nm CMOS中的73μW400Mbps耐压1.8V-3.6V驱动器
作者:
Monga Sushrant
;
Kumar Vinod
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
30.
12 Power reduction by within-functional-block fine-grained adaptive dual supply voltage control in logic circuits with 42 voltage domains
机译:
通过功能块内的细粒度自适应双电源电压控制,在具有42个电压域的逻辑电路中将功耗降低12%
作者:
Muramatsu Atsushi
;
Yasufuku Tadashi
;
Nomura Masahiro
;
Takamiya Makoto
;
Shinohara Hirofumi
;
Sakurai Takayasu
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
31.
A 31.5dBm outphasing class-D power amplifier in 45nm CMOS with back-off efficiency enhancement by dynamic power control
机译:
采用45nm CMOS的31.5dBm相移D类功率放大器,通过动态功率控制提高了退避效率
作者:
Tai Wei
;
Xu Hongtao
;
Ravi Ashoke
;
Lakdawala Hasnain
;
Degani Ofir B.
;
Carley L. Richard
;
Palaskas Yorgos
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
32.
CMOS transformer-based uneven Doherty power amplifier for WLAN applications
机译:
基于CMOS变压器的不均匀Doherty功率放大器,用于WLAN应用
作者:
Kaymaksut Ercan
;
Reynaert Patrick
会议名称:
《》
|
2011年
33.
A CMOS IQ direct digital RF modulator with embedded RF FIR-based quantization noise filter
机译:
具有嵌入式基于RF FIR的量化噪声滤波器的CMOS IQ直接数字RF调制器
作者:
Gaber Wagdy M.
;
Wambacq Piet
;
Craninckx Jan
;
Ingels Mark
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
34.
A 3-channel true-time delay transmitter for 60GHz radar-beamforming applications
机译:
用于60GHz雷达波束形成应用的3通道实时延迟发射机
作者:
Veenstra H.
;
Notten M.
;
Zhao D.
;
Long J. R.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
35.
A 0.47–1.6mW 5bit 0.5–1GS/s time-interleaved SAR ADC for low-power UWB radios
机译:
用于低功率UWB无线电的0.47–1.6mW 5位0.5–1GS / s时间交错SAR ADC
作者:
Harpe Pieter
;
Busze Ben
;
Philips Kathleen
;
de Groot Harmke
会议名称:
《》
|
2011年
36.
A 7.65mW 5bits 90nm 1Gs/s ADC folded-interpolated without calibration
机译:
一个7.65mW 5位90nm 1Gs / s ADC折叠内插,无需校准
作者:
DrAmico S.
;
Cocciolo G.
;
De Matteis M.
;
Baschirotto A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
37.
A 5.5GS/s 28mW 5-bit flash ADC with resonant clock distribution
机译:
具有谐振时钟分配的5.5GS / s 28mW 5位闪存ADC
作者:
Ma Wei-Hsiang
;
Kao Jerry C.
;
Papaefthymiou Marios
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
38.
A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip
机译:
10 pJ /周期的超低压32位微处理器片上系统
作者:
Ickes Nathan
;
Sinangil Yildiz
;
Pappalardo Francesco
;
Guidetti Elio
;
Chandrakasan Anantha P.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
39.
A 0.06-ps
RMS
SSC-induced jitter, ΔΣ-dithering-free, 6-GHz spread-spectrum clock generator for serial-ATA generation
机译:
一个0.06-ps
RMS inf> SSC引起的抖动,无ΔΣ抖动,6 GHz扩频时钟发生器,用于串行ATA生成
作者:
Hung Cheng-Liang
;
Cheng Kuo-Hsing
;
Lin Yu-Chen
;
Jiang Bo-Qian
;
Fan Che-Hao
;
Chang Chi-Yang
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
40.
A 300KHz bandwidth 3.9GHz 0.18μm CMOS fractional-N synthesizer with 13dB broadband phase noise reduction
机译:
300KHz带宽3.9GHz0.18μmCMOS分数N合成器,宽带噪声降低13dB
作者:
Wu Chun-Pang
;
Wang Sheng-Sian
;
Tsao Hen-Wai
;
Wu Jingshown
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
关键词:
Delta-Sigma modulator;
digital quantizer;
fractional-N PLL;
spurious-tone suppression techniques;
41.
A 2.6ps
rms
-period-jitter 900MHz all-digital fractional-N PLL built with standard cells
机译:
使用标准单元构建的2.6ps
rms inf>周期抖动900MHz全数字小数N分频PLL
作者:
Su Richard
;
Lanzisera Steven
;
Pister Kristofer S. J.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
42.
A 90nm CMOS gated-ring-oscillator-based Vernier time-to-digital converter for DPLLs
机译:
用于DPLL的基于90nm CMOS门控环形振荡器的Vernier时间数字转换器
作者:
Lu Ping
;
Andreani Pietro
;
Liscidini Antonio
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
43.
Fast and robust level shifters in 65 nm CMOS
机译:
65 nm CMOS中的快速稳定的电平转换器
作者:
Maderbacher Gerhard
;
Jackum Thomas
;
Pribyl Wolfgang
;
Michaelis Sylvia
;
Michaelis Dietrich
;
Sandner Christoph
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
44.
A level shifter with logic error correction circuit for extremely low-voltage digital CMOS LSIs
机译:
具有逻辑错误校正电路的电平转换器,用于极低压数字CMOS LSI
作者:
Osaki Yuji
;
Hirose Tetsuya
;
Kuroki Nobutaka
;
Numa Masahiro
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
45.
Differential input topologies with immunity to electromagnetic interference
机译:
具有抗电磁干扰能力的差分输入拓扑
作者:
Michel Fridolin
;
Steyaert Michiel
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
46.
Analog baseband chain with analog to digital converter (ADC) of Synthetic Aperture Radar (SAR) receiver
机译:
具有合成孔径雷达(SAR)接收器的模数转换器(ADC)的模拟基带链
作者:
Bakar Faizah Abu
;
Nieminen Tero
;
Nehal Qaiser
;
Ukkonen Pekka
;
Saari Ville
;
Halonen Kari
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
47.
A neural tissue interfacing chip for in-vitro applications with 32k recording / stimulation channels on an active area of 2.6 mm
2
机译:
用于体外应用的神经组织接口芯片,在2.6 mm
2 sup>的有效区域上具有32k记录/刺激通道
作者:
Eversmann Bjorn
;
Lambacher Armin
;
Gerling Thomas
;
Kunze Alexander
;
Fromherz Peter
;
Thewes Roland
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
48.
A stimulator ASIC with capability of neural recording during inter-phase delay
机译:
在相间延迟期间具有神经记录能力的刺激器ASIC
作者:
Liu Xiao
;
Demosthenous Andreas
;
Jiang Dai
;
Vanhoestenberghe Anne
;
Donaldson Nick
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
49.
Front matter
机译:
前事
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
50.
Analog design trends and challenges in 28 and 20nm CMOS technology
机译:
28和20nm CMOS技术的模拟设计趋势和挑战
作者:
Dautriche Pierre
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
51.
Circuit design in organic semiconductor technologies
机译:
有机半导体技术中的电路设计
作者:
Heremans P.
;
Dehaene W.
;
Steyaert M.
;
Myny K.
;
Marien H.
;
Genoe J.
;
Gelinck G.
;
van Veenendaal E.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
52.
Photonics — Electronics integration on CMOS
机译:
光子学— CMOS上的电子集成
作者:
Fulbert Laurent
;
Fedeli Jean-Marc
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
53.
Brain-machine interfaces as the new frontier in extreme miniaturization
机译:
脑机接口成为极端小型化的新领域
作者:
Rabaey Jan M.
会议名称:
《》
|
2011年
54.
Multimode-multiband transceivers for next generation of wireless communications
机译:
多模多频带收发器,用于下一代无线通信
作者:
Parssinen Aarno
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
55.
Wireless medical implant technology — Recent advances and future developments
机译:
无线医疗植入技术—最新进展和未来发展
作者:
Bradley Peter D.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
56.
DC-DC converters: From discrete towards fully integrated CMOS
机译:
DC-DC转换器:从离散到完全集成的CMOS
作者:
Steyaert M.
;
Van Breussegem T.
;
Meyvaert H.
;
Callemeyn P.
;
Wens M.
会议名称:
《》
|
2011年
57.
High-k/metal gate innovations enabling continued CMOS scaling
机译:
高k /金属栅极创新技术可实现连续CMOS缩放
作者:
Frank Martin M.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
58.
Fundamentals and current status of steep-slope tunnel field-effect transistors
机译:
陡坡隧道场效应晶体管的基本原理和当前状态
作者:
Seabaugh Alan
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
59.
Current status on GaN-based RF-power devices
机译:
GaN基射频功率器件的当前状态
作者:
Ueda Tetsuzo
;
Tanaka Tsuyoshi
;
Ueda Daisuke
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
60.
A 7-bit 18
th
order 9.6 GS/s FIR filter for high data rate 60-GHz wireless communications
机译:
用于高数据速率60-GHz无线通信的7位18
sup>阶9.6 GS / s FIR滤波器
作者:
Muller Jonathan
;
Stefanelli Bruno
;
Frappe Antoine
;
Ye Lu
;
Cathelin Andreia
;
Niknejad Ali
;
Kaiser Andreas
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
61.
A 2.97 Gb/s DPA-resistant AES engine with self-generated random sequence
机译:
具有自生成随机序列的2.97 Gb / s耐DPA的AES引擎
作者:
Liu Po-Chun
;
Hsiao Ju-Hung
;
Chang Hsie-Chia
;
Lee Chen-Yi
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
62.
Area- and energy-efficient high-throughput LDPC decoders with low block latency
机译:
具有低块等待时间的面积和能源效率高的高吞吐量LDPC解码器
作者:
Korb Matthias
;
Noll Tobias G.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
63.
A 2.56 Gb/s soft RS (255,239) decoder chip for optical communication systems
机译:
用于光通信系统的2.56 Gb / s软RS(255,239)解码器芯片
作者:
Hsu Chih-Hsiang
;
Lin Yi-Min
;
Chang Hsie-Chia
;
Lee Chen-Yi
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
64.
A 128×128b high-speed wide-and match-line content addressable memory in 32nm CMOS
机译:
采用32nm CMOS的128×128b高速宽线和匹配线内容可寻址存储器
作者:
Agarwal Amit
;
Hsu Steven
;
Mathew Sanu
;
Anders Mark
;
Kaul Himanshu
;
Sheikh Farhana
;
Krishnamurthy Ram
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
65.
A 3.4W digital-in class-D audio amplifier
机译:
3.4W D类数字音频放大器
作者:
Berkhout Marco
;
Dooper Lutsen
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
66.
An audio 91-dB THD third-order fully-differential class-D amplifier
机译:
音频91dB THD三阶全差分D类放大器
作者:
Cartasegna D.
;
Malcovati P.
;
Crespi L.
;
Lee K.
;
Murukutla L.
;
Baschirotto A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
67.
Linearity and intrinsic gain enhancement techniques using positive feedbacks to realize a 1.2-V, 200-MHz, +10.3-dBm of IIP3 and 7th-order LPF in a 65-nm CMOS
机译:
线性和内在增益增强技术,利用正反馈在65nm CMOS中实现1.2V,200MHz,+ 10.3dBm的IIP3和7阶LPF
作者:
Sugimoto Yasuhiro
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
68.
A 3.6mW @ 1.2V high linear 8
th
-order CMOS complex filter for IEEE 802.15.4 standard
机译:
用于IEEE 802.15.4标准的3.6mW @ 1.2V高线性第8级CMOS复合滤波器
作者:
Villegas Alberto
;
Vazquez Diego
;
Peralias Eduardo
;
Rueda Adoracion
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
69.
A 1.6mW 0.5GHz open-loop VGA with fast startup and offset calibration for UWB radios
机译:
具有用于UWB无线电的快速启动和偏移校准的1.6mW 0.5GHz开环VGA
作者:
Harpe Pieter
;
Zhou Cui
;
Philips Kathleen
;
de Groot Harmke
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
70.
A 100m-range 10-frame/s 340×96-pixel time-of-flight depth sensor in 0.18μm CMOS
机译:
采用0.18μmCMOS的100m范围10帧/秒340×96像素飞行时间深度传感器
作者:
Niclass Cristiano
;
Soga Mineki
;
Matsubara Hiroyuki
;
Kato Satoru
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
71.
CMOS 3D image sensor based on pulse modulated time-of-flight principle and intrinsic lateral drift-field photodiode pixels
机译:
基于脉冲调制飞行时间原理和本征横向漂移场光电二极管像素的CMOS 3D图像传感器
作者:
Spickermann Andreas
;
Durini Daniel
;
Suss Andreas
;
Ulfig Wiebke
;
Brockherde Werner
;
Hosticka Bedrich J.
;
Schwope Stefan
;
Grabmaier Anton
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
72.
A CMOS imager with digital phase readout for fluorescence lifetime imaging
机译:
具有数字相位读数的CMOS成像仪,用于荧光寿命成像
作者:
Guo Jian
;
Sonkusale Sameer
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
73.
A 128-channel, 9ps column-parallel two-stage TDC based on time difference amplification for time-resolved imaging
机译:
基于时间差放大的128通道9ps列并行两级TDC,用于时间分辨成像
作者:
Mandai Shingo
;
Charbon Edoardo
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
74.
A 140 dB equivalent dynamic range receiver interface for an infrared rain-sensing IC
机译:
一个140 dB等效动态范围接收器接口,用于红外雨量感应IC
作者:
Krenzke Rainer
;
Ji Cang
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
75.
A feedback class-C VCO with robust startup condition over PVT variations and enhanced oscillation swing
机译:
反馈C级VCO,在PVT变化时具有稳定的启动条件并增强了振荡摆幅
作者:
Deng Wei
;
Okada Kenichi
;
Matsuzawa Akira
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
76.
A scaled thermal-diffusivity-based frequency reference in 0.16μm CMOS
机译:
基于0.16μmCMOS的按比例缩放的基于热扩散率的频率基准
作者:
Kashmiri S. M.
;
Souri K.
;
Makinwa K. A. A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
77.
A spread spectrum clock generator based on a short-term optimized chaotic map
机译:
基于短期优化混沌图的扩频时钟发生器
作者:
Pareschi Fabio
;
Setti Gianluca
;
Rovattit Riccardo
;
Frattini Giovanni
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
78.
Effects of packaging and process spread on a mobility-based frequency reference in 0.16-μm CMOS
机译:
封装和工艺扩展对0.16-μmCMOS中基于迁移率的频率基准的影响
作者:
Sebastiano Fabio
;
Breems Lucien
;
Makinwa Kofi A. A.
;
Drago Salvatore
;
Leenaerts Domine
;
Nauta Bram
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
79.
8T SRAM with Mimicked Negative Bit-lines and Charge Limited Sequential sense amplifier for wireless sensor nodes
机译:
具有模拟负位线和电荷限制顺序感测放大器的8T SRAM,用于无线传感器节点
作者:
Sharma Vibhu
;
Cosemans Stefan
;
Ashouei Maryam
;
Huisken Jos
;
Catthoor Francky
;
Dehaene Wim
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
80.
A narrow-to-wideband scrambling technique increasing software radio receiver linearity
机译:
从窄到宽带的加扰技术,提高了软件无线电接收机的线性度
作者:
van Houwelingen Fabian
;
van Tuijl Ed
;
Nauta Bram
;
Vertregt Maarten
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
81.
Wideband 2 to 6GHz RF front-end with blocker filtering
机译:
宽带2至6GHz RF前端,具有阻塞滤波
作者:
Kaltiokallio M.
;
Saari V.
;
Ryynanen J.
;
Kallioinen S.
;
Parssinen A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
关键词:
Transferred impedance filter;
adaptive filters;
broadband amplifiers;
cognitive radio;
complex filters;
impedance transformation;
passive mixer;
radio receivers;
tunable amplifiers;
82.
A 915MHz ultra-low power wake-up receiver with scalable performance and power consumption
机译:
具有可扩展性能和功耗的915MHz超低功耗唤醒接收机
作者:
Huang Xiongchuan
;
Harpe Pieter
;
Dolmans Guido
;
de Groot Harmke
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
83.
A 65nm CMOS 282μW 915MHz direct conversion receiver front-end
机译:
65nm CMOS282μW915MHz直接转换接收器前端
作者:
Bryant Carl
;
Sjoland Henrik
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
84.
A fully integrated high security NFC target IC using 0.18 μm CMOS process
机译:
采用0.18μmCMOS工艺的完全集成的高安全性NFC目标IC
作者:
Lee J.-W.
;
Vo D. H. T.
;
Hong S. H.
;
Huynh Q.-H.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
85.
ESSCIRC 2011 author index
机译:
ESSCIRC 2011作者索引
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
86.
A 0.5-V 1.13-μW/channel neural recording interface with digital multiplexing scheme
机译:
具有数字多路复用方案的0.5V1.13-μW/通道神经记录接口
作者:
Liew Wen-Sin
;
Zou Xiaodan
;
Lian Yong
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
87.
A 1.2 V 300 μW second-order switched-capacitor Δ∑ modulator using ultra incomplete settling with 73 dB SNDR and 300 kHz BW in 130 nm CMOS
机译:
一个1.2 V 300μW二阶开关电容器Δ∑调制器,在130 nm CMOS中使用73 dB SNDR和300 kHz BW的超不完全建立
作者:
Nowacki Blazej
;
Paulino Nuno
;
Goes Joao
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
88.
A standard cell based all-digital Time-to-Digital Converter with reconfigurable resolution and on-line background calibration
机译:
基于标准单元的全数字时间数字转换器,具有可重新配置的分辨率和在线背景校准
作者:
Vengattaramane Kameswaran
;
Borremans Jonathan
;
Steyaert Michiel
;
Craninckx Jan
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
89.
A precision DTMOST-based temperature sensor
机译:
基于DTMOST的精密温度传感器
作者:
Souri Kamran
;
Chae Youngcheol
;
Ponomarev Youri
;
Makinwa Kofi A. A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
90.
An energy-efficient 15-bit capacitive sensor interface
机译:
节能的15位电容传感器接口
作者:
Tan Zhichao
;
Pertijs Michiel A. P.
;
Meijer Gerard C. M.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
91.
A fully-digital, 0.3V, 270 nW capacitive sensor interface without external references
机译:
全数字,0.3V,270 nW电容式传感器接口,无需外部基准
作者:
Danneels Hans
;
Coddens Kristof
;
Gielen Georges
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
92.
A charge balancing accelerometer interface with electrostatic damping
机译:
带有静电阻尼的电荷平衡加速度计接口
作者:
Yucetas Mikail
;
Aaltonen Lasse
;
Pulkkinen Mika
;
Salomaa Jarno
;
Kalanti Antti
;
Halonen Kari
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
93.
Controlling the primary mode of gyroscopes with a phase-based amplitude regulation
机译:
通过基于相位的幅度调节来控制陀螺仪的主模式
作者:
Northemann T.
;
Schillinger R.
;
Maurer M.
;
Manoli Y.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
94.
A 1.6–2.6GHz 29dBm injection-locked power amplifier with 64 peak PAE in 65nm CMOS
机译:
一个1.6–2.6GHz 29dBm注入锁定功率放大器,在65nm CMOS中具有64%的峰值PAE
作者:
Lindstrand Jonas
;
Bryant Carl
;
Tormanen Markus
;
Sjoland Henrik
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
关键词:
CMOS;
Hybrid EER;
Injection lock;
Power amplifier;
Power efficiency;
95.
A fully integrated CMOS power amplifier for LTE-applications using clover shaped DAT
机译:
使用三叶草形DAT的LTE应用的完全集成CMOS功率放大器
作者:
Francois Brecht
;
Reynaert Patrick
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
96.
A 11.4dBm 90nm CMOS H-Bridge resonating polar amplifier using RF Sigma Delta Modulation
机译:
采用RF Sigma Delta调制的11.4dBm 90nm CMOS H桥谐振极性放大器
作者:
Rong Liang
;
Jonsson Fredrik
;
Zheng Li-Rong
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
关键词:
Digital Delay Trimming;
H-Bridge Digital Polar Amplifier;
Low Pass RF Sigma Delta Modulation;
on-Chip Filter Matching Network;
97.
A low power discrete-time receiver for triple-band FM/T-DMB/DAB system-on-chip
机译:
用于三频FM / T-DMB / DAB片上系统的低功耗离散时间接收器
作者:
Nguyen Hoai-Nam
;
Jung Seung-Hwan
;
Min Byung-Hun
;
Lee Young-Jae
;
Lee Sang-Gug
;
Eo Yun-Seong
;
Yu Huyn-Kyu
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
98.
A high dynamic range fully-active 45–240MHz tunable RF bandpass filter for TV tuners
机译:
用于电视调谐器的高动态范围全有源45–240MHz可调RF带通滤波器
作者:
Jolivet S.
;
Amiot S.
;
Crand O.
;
Bertrand S.
;
Jarry B.
;
Lintignat J.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
99.
A 36V voltage-to-current converter with dynamic element matching and auto-calibration for AC ripple reduction
机译:
具有动态元件匹配和自动校准功能的36V电压-电流转换器,可减少交流纹波
作者:
Bajoria S.
;
Snoeij M. F.
;
Schaffer V.
;
Ivanov M. V.
;
Wang S.
;
Makinwa K. A. A.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
100.
An analog readout circuit with offset calibration for cantiliver-based DNA detection
机译:
具有偏置校准的模拟读出电路,用于基于悬臂的DNA检测
作者:
Borghetti F.
;
Massari N.
;
Stoppa D.
;
Adami A.
;
Lorenzelli L.
;
Maloberti F.
会议名称:
《37th European Solid-State Circuits Conference》
|
2011年
意见反馈
回到顶部
回到首页