掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International conference on computer design
International conference on computer design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Dynamic core scaling: Trading off performance and energy beyond DVFS
机译:
动态核心缩放:交易超越DVFS的性能和能量
作者:
Zhang Wei
;
Zhang Hang
;
Lach John
会议名称:
《International conference on computer design》
|
2015年
关键词:
Calibration;
Energy consumption;
Monitoring;
Pipelines;
Program processors;
Registers;
Voltage control;
2.
A thermal adaptive scheme for reliable write operation on RRAM based architectures
机译:
用于RRAM基于架构的可靠写入操作的热自适应方案
作者:
Garcia-Redondo Fernando
;
Lopez-Vallejo Marisa
;
Ituero Pablo
会议名称:
《International conference on computer design》
|
2015年
关键词:
Memristors;
Reliability;
Temperature dependence;
Temperature measurement;
Temperature sensors;
Transistors;
Writing;
3.
A one-pass test-selection method for maximizing test coverage
机译:
一种用于最大化测试覆盖的一次通过测试选择方法
作者:
Xue Cheng
;
Shawn R.D.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Arrays;
Circuit faults;
Dictionaries;
Fault detection;
Greedy algorithms;
Measurement;
Memory management;
fault coverage;
maximum-K;
test selection;
4.
M-MAP: Multi-factor memory authentication for secure embedded processors
机译:
M-MAP:安全嵌入式处理器的多因素内存身份验证
作者:
Haider Syed Kamran
;
Ahmad Masab
;
Hijaz Farrukh
;
Patni Astha
;
Johnson Ethan
;
Seita Matthew
;
Khan Omer
;
van Dijk Marten
会议名称:
《International conference on computer design》
|
2015年
关键词:
Benchmark testing;
Computer architecture;
Hardware;
Program processors;
Random access memory;
Safety;
5.
Applied statistical inference for system design and management
机译:
系统设计和管理应用统计推断
作者:
Lee Benjamin C.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computational modeling;
Computers;
Data models;
Hardware;
Predictive models;
Resource management;
Software;
6.
A novel TSV probing technique with adhesive test interposer
机译:
具有粘合试剂介剂的新型TSV探测技术
作者:
Jiang Li
;
Huang Xiangwei
;
Xie Hongfeng
;
Xu Qiang
;
Li Chao
;
Liang Xiaoyao
;
Li Huiyun
会议名称:
《International conference on computer design》
|
2015年
关键词:
Bandwidth;
Circuit faults;
Logic gates;
Probes;
Testing;
Three-dimensional displays;
Through-silicon vias;
7.
Application behavior aware re-reference interval prediction for shared LLC
机译:
应用程序行为意识到共享LLC的重新参考间隔预测
作者:
Lathigara Parth
;
Balachandran Shankar
;
Singh Virendra
会议名称:
《International conference on computer design》
|
2015年
关键词:
Interference;
Measurement;
Multicore processing;
Performance gain;
Program processors;
Radiation detectors;
Throughput;
8.
Performance optimization for on-chip sensors to detect recycled ICs
机译:
片上传感器的性能优化检测再生IC
作者:
Shakya Bicky
;
Guin Ujjwal
;
Tehranipoor Mark
;
Forte Domenic
会议名称:
《International conference on computer design》
|
2015年
关键词:
Aging;
Degradation;
Integrated circuits;
Optimization;
Recycling;
Sensor phenomena and characterization;
9.
Cache allocation for fixed-priority real-time scheduling on multi-core platforms
机译:
多核平台上固定优先级实时调度的缓存分配
作者:
Chaparro-Baquero Gustavo A.
;
Homsi Soamar
;
Vichot Omara
;
Ren Shaolei
;
Quan Gang
;
Ren Shangping
会议名称:
《International conference on computer design》
|
2015年
关键词:
Cache memory;
Harmonic analysis;
Job shop scheduling;
Multicore processing;
Partitioning algorithms;
Real-time systems;
Resource management;
10.
ROST-C: Reliability driven optimisation and synthesis techniques for combinational circuits
机译:
罗斯特-C:组合电路可靠性驱动优化和合成技术
作者:
Grandhi Satish
;
McCarthy David
;
Spagnol Christian
;
Popovici Emanuel
;
Cotofana Sorin
会议名称:
《International conference on computer design》
|
2015年
关键词:
Benchmark testing;
Error probability;
Integrated circuit modeling;
Integrated circuit reliability;
Logic gates;
Optimization;
Ami-Invert Graphs (AIG);
Boolean Matching;
Cut Enumeration;
NPN Equivalence;
Optimisation;
Reliability;
Rewriting;
Soft Errors;
11.
Pool directory: Efficient coherence tracking with dynamic directory allocation in many-core systems
机译:
池目录:在许多核心系统中使用动态目录分配进行高效的相干性跟踪
作者:
Shukla Sudhanshu
;
Chaudhuri Mainak
会议名称:
《International conference on computer design》
|
2015年
关键词:
Coherence;
Dynamic scheduling;
Encoding;
Organizations;
Proposals;
Protocols;
Resource management;
Many-core coherence;
directory scalability;
dynamic directory allocation;
12.
POS: A Popularity-based Online Scaling scheme for RAID-structured storage systems
机译:
POS:用于RAID结构存储系统的基于人气的在线缩放方案
作者:
Wu Si
;
Xu Yinlong
;
Li Yongkun
;
Zhu Yunfeng
会议名称:
《International conference on computer design》
|
2015年
关键词:
Bandwidth;
Benchmark testing;
Computers;
Degradation;
Frequency measurement;
Time factors;
13.
Memory design for selective error protection
机译:
用于选择性错误保护的内存设计
作者:
Cao Yanan
;
Chen Long
;
Zhang Zhao
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computers;
Electronic mail;
Error analysis;
Error correction codes;
Random access memory;
Reliability;
Simulation;
14.
Improving memristor memory with sneak current sharing
机译:
用潜水当前共享改进忆内存储器
作者:
Shevgoor Manjunath
;
Muralimanohar Naveen
;
Balasubramonian Rajeev
;
Jeon Yoocharn
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computer architecture;
Memristors;
Metals;
Random access memory;
Resistance;
Switches;
Transistors;
15.
CSL: Coordinated and scalable logic synthesis techniques for effective NBTI reduction
机译:
CSL:协调和可扩展的逻辑合成技术,用于减少有效的NBTI
作者:
Lin Chen-Hsuan
;
Roy Subhendu
;
Wang Chun-Yao
;
Pan David Z.
;
Chen Deming
会议名称:
《International conference on computer design》
|
2015年
关键词:
Degradation;
Delays;
Logic gates;
MOSFET;
Stacking;
Standards;
16.
InvArch: A hardware eficient architecture for Matrix Inversion
机译:
逆
作者:
Cheema Umer I.
;
Nash Gregory
;
Ansari Rashid
;
Khokhar Ashfaq A.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computer architecture;
Computers;
Field programmable gate arrays;
Hardware;
Pipelines;
Random access memory;
Scalability;
17.
Fault-tolerant in-memory crossbar computing using quantified constraint solving
机译:
使用量化约束求解的容错内存横杆计算
作者:
Velasquez Alvaro
;
Jha Sumit Kumar
会议名称:
《International conference on computer design》
|
2015年
关键词:
CMOS integrated circuits;
Computer architecture;
Fault tolerance;
Memristors;
Nanoscale devices;
Nanowires;
Wires;
18.
OpenNVM: An open-sourced FPGA-based NVM controller for low level memory characterization
机译:
OpenNVM:基于开放的FPGA的NVM控制器,用于低电平内存表征
作者:
Zhang Jie
;
Park Gieseo
;
Shihab Mustafa M
;
Donofrio David
;
Shalf John
;
Jung Myoungsoo
会议名称:
《International conference on computer design》
|
2015年
关键词:
Ash;
Field programmable gate arrays;
Memory management;
Nonvolatile memory;
Power demand;
Programming;
Random access memory;
19.
Resilient mobile cognition: Algorithms, innovations, and architectures
机译:
弹性移动认知:算法,创新和架构
作者:
Viguier R.
;
Lin C.-C.
;
Swaminathan K.
;
Vega A.
;
Buyuktosunoglu A.
;
Pankanti S.
;
Bose P.
;
Akbarpour H.
;
Bunyak F.
;
Palaniappan K.
;
Seetharaman G.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Cameras;
Computer architecture;
Image segmentation;
Metadata;
Motion estimation;
Streaming media;
Tensile stress;
20.
Online mechanism for reliability and power-efficiency management of a dynamically reconfigurable core
机译:
动态可重构核心可靠性和功率效率管理的在线机制
作者:
Srinivasan Sudarshan
;
Koren Israel
;
Kundu Sandip
会议名称:
《International conference on computer design》
|
2015年
关键词:
Multicore processing;
Out of order;
Radiation detectors;
Reliability;
Runtime;
Switches;
21.
3D Integration: New opportunities in defense against cache-timing side-channel attacks
机译:
3D集成:防御缓存定时侧渠攻击的新机会
作者:
Bao Chongxi
;
Srivastava Ankur
会议名称:
《International conference on computer design》
|
2015年
关键词:
Encryption;
Monitoring;
Software;
Three-dimensional displays;
Timing;
22.
An orchestrated approach to efficiently manage resources in heterogeneous system architectures
机译:
一种策划的方法,可以有效地管理异构系统架构中的资源
作者:
Bolchini Cristiana
;
Durelli Gianluca C.
;
Miele Antonio
;
Pallotta Gabriele
;
Santambrogio Marco D.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computer architecture;
Field programmable gate arrays;
Hardware;
Monitoring;
Program processors;
Resource management;
Runtime;
23.
Wide I/O or LPDDR? Exploration and analysis of performance, power and temperature trade-offs of emerging DRAM technologies in embedded MPSoCs
机译:
宽I / O或LPDDR?嵌入式MPSOC中新兴DRAM技术的性能,电力和温度折衷探索与分析
作者:
Hajkazemi Mohammad Hossein
;
Tavana Mohammad Khavari
;
Homayoun Houman
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computers;
Conferences;
LPDDR3;
Performance;
Power;
Temperature;
Wide I/O;
24.
Using M/G/l queueing models with vacations to analyze virtualized logic computations
机译:
使用具有假期的M / G / L排队模型来分析虚拟化逻辑计算
作者:
Hall Michael J.
;
Chamberlain Roger D.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Clocks;
Computational modeling;
Context;
Hardware;
Integrated circuit modeling;
Servers;
Switches;
25.
Optimized local control strategy for voice-based interaction-tracking badges for social applications
机译:
用于社交应用的语音交互跟踪徽章的优化本地控制策略
作者:
Liu Xiaowei
;
Doboli Alex
;
Ye Fan
会议名称:
《International conference on computer design》
|
2015年
关键词:
Bandwidth;
Computational modeling;
Data acquisition;
Data models;
Data privacy;
Privacy;
Reliability;
26.
Power and performance characterization, analysis and tuning for energy-efficient edge detection on atom and ARM based platforms
机译:
基于原子和ARM平台的节能边缘检测的功率和性能表征,分析和调整
作者:
Otto Paul
;
Malik Maria
;
Akhlaghi Nima
;
Sequeira Rebel
;
Homayoun Houman
;
Sikdar Siddhartha
会议名称:
《International conference on computer design》
|
2015年
关键词:
Apertures;
Atomic measurements;
Frequency measurement;
Image edge detection;
Multicore processing;
Optimization;
Power measurement;
Algorithm Optimization;
Embedded Platforms;
Energy Delay Product;
Harris corner Detection;
System optimization;
27.
From theory to practice of private circuit: A cautionary note
机译:
从理论到私人电路的实践:警告说明
作者:
Roy Debapriya Basu
;
Bhasin Shivam
;
Guilley Sylvain
;
Danger Jean-Luc
;
Mukhopadhyay Debdeep
会议名称:
《International conference on computer design》
|
2015年
关键词:
Ciphers;
Field programmable gate arrays;
Integrated circuit modeling;
Logic gates;
Table lookup;
Boolean logic optimization;
Provably secure masking;
SIMON;
glitches;
synchronized logic;
28.
An automated design flow for approximate circuits based on reduced precision redundancy
机译:
基于降低精度冗余的近似电路自动化设计流程
作者:
Pagliari Daniele Jahier
;
Calimera Andrea
;
Macii Enrico
;
Poncino Massimo
会议名称:
《International conference on computer design》
|
2015年
关键词:
Approximation methods;
Logic gates;
Mathematical model;
Optimization;
Redundancy;
Signal to noise ratio;
Timing;
29.
A scan chain optimization method for diagnosis
机译:
诊断扫描链优化方法
作者:
Chen Huajun
;
Qi Zichu
;
Wang Lin
;
Xu Chao
会议名称:
《International conference on computer design》
|
2015年
关键词:
Circuit faults;
Controllability;
Hardware;
Integrated circuit modeling;
Load modeling;
Logic gates;
Software;
30.
A multicore vacation scheme for thermal-aware packet processing
机译:
热感知数据包处理的多核假期方案
作者:
Chou Chih-Hsun
;
Bhuyan Laxmi N.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Heating;
Multicore processing;
Power demand;
Servers;
Thermal loading;
Thermal management;
Throughput;
Network application;
Packet processing;
Power saving;
Thermal aware technique;
multi-core processors;
vacation and runtime adaptation;
31.
Exploit common source-line to construct energy efficient domain wall memory based caches
机译:
利用常见的源线构建能源有效的域墙内存基于的缓存
作者:
Zhang Xianwei
;
Zhao Lei
;
Zhang Youtao
;
Yang Jun
会议名称:
《International conference on computer design》
|
2015年
关键词:
Energy consumption;
Layout;
Magnetic domains;
Magnetic heads;
Organizations;
Random access memory;
System-on-chip;
Domain wall memory;
last level cache;
32.
A hardware-based multi-objective thread mapper for tiled manycore architectures
机译:
用于平铺的多核体系结构的基于硬件的多目标线程映射器
作者:
Pujari Ravi Kumar
;
Wild Thomas
;
Herkersdorf Andreas
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computer architecture;
Field programmable gate arrays;
Hardware;
Instruction sets;
Message systems;
Round robin;
Temperature sensors;
Hardware Scheduler;
MPSoC;
Multi-objective;
Thread Mapping;
33.
VPM: Virtual power meter tool for low-power many-core/heterogeneous data center prototypes
机译:
VPM:用于低功耗多核/异构数据中心原型的虚拟功率计工具
作者:
Rethinagiri Santhosh Kumar
;
Palomar Oscar
;
Moreno Javier Arias
;
Unsal Osman
;
Cristal Adrian
会议名称:
《International conference on computer design》
|
2015年
关键词:
Energy consumption;
Estimation;
Hardware;
Power measurement;
Processor scheduling;
Program processors;
Servers;
34.
Mobile ecosystem driven application-specific low-power control microarchitecture
机译:
移动生态系统驱动应用特定的低功耗控制微架构
作者:
Bournoutian Garo
;
Orailoglu Alex
会议名称:
《International conference on computer design》
|
2015年
关键词:
Ecosystems;
Hardware;
Libraries;
Microarchitecture;
Mobile applications;
Mobile communication;
Optimization;
35.
A methodology to generate evenly distributed input stimuli by clustering of variable domain
机译:
一种通过群集可变域来生成均匀分布的输入刺激的方法
作者:
Jomu George M.P.
;
Mohamed O.Ait
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algorithm design and analysis;
Boolean functions;
Clustering algorithms;
Computer bugs;
Data structures;
Hardware;
Input variables;
36.
Security implications of cyberphysical digital microfluidic biochips
机译:
网络耳肢数字微流体生物芯片的安全含义
作者:
Ali Sk Subidh
;
Ibrahim Mohamed
;
Sinanoglu Ozgur
;
Chakrabarty Krishnendu
;
Karri Ramesh
会议名称:
《International conference on computer design》
|
2015年
关键词:
Calibration;
DNA;
Design automation;
Security;
Sensors;
Sequential analysis;
Sugar;
37.
Immediate sleep: Reducing energy impact of peripheral circuits in STT-MRAM caches
机译:
立即睡眠:降低STT-MRAM缓存外围电路的能量影响
作者:
Arima Eishi
;
Noguchi Hiroki
;
Nakada Takashi
;
Miwa Shinobu
;
Takeda Susumu
;
Fujita Shinobu
;
Nakamura Hiroshi
会议名称:
《International conference on computer design》
|
2015年
关键词:
Arrays;
Decoding;
Degradation;
Microprocessors;
Random access memory;
Runtime;
Transistors;
38.
A testing platform for on-drone computation
机译:
无人机计算的测试平台
作者:
Zhou Wang
;
Nair Dhruv
;
Gunawan Oki
;
van Kessel Theodore
;
Hamann Hendrik F.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Cameras;
Computers;
Economic indicators;
Global Positioning System;
Machine vision;
Three-dimensional displays;
Tracking;
39.
SCP: Synergistic cache compression and prefetching
机译:
SCP:协同缓存压缩和预取
作者:
Patel Bhargavraj
;
Hardavellas Nikos
;
Memik Gokhan
会议名称:
《International conference on computer design》
|
2015年
关键词:
Bandwidth;
Engines;
Hardware;
Memory management;
Multicore processing;
Prefetching;
System-on-chip;
cache compression;
prefetching;
processor cache;
spatio-temporal data streaming;
40.
Energy-optimal voltage model supporting a wide range of nodal switching rates for early design-space exploration
机译:
能源 - 最佳电压模型,用于早期设计空间探索的各种节点开关速率
作者:
Kim Doyun
;
Li Jiangyi
;
Seok Mingoo
会议名称:
《International conference on computer design》
|
2015年
关键词:
Analytical models;
Delays;
Energy consumption;
Integrated circuit modeling;
Mathematical model;
Switches;
Switching circuits;
Energy optimal voltage;
near-threshold;
sub-threshold;
41.
Power management of pulsed-index communication protocols
机译:
脉冲索引通信协议的电源管理
作者:
Muzaffar Shahzad
;
Elfadel Ibrahim Abe M.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Capacitors;
Delays;
Power demand;
Protocols;
Receivers;
Resistance;
Resistors;
42.
Analytic processor model for fast design-space exploration
机译:
快速设计空间探索的分析处理器模型
作者:
Jongerius Rik
;
Mariani Giovanni
;
Anghel Andreea
;
Dittmann Gero
;
Vermij Erik
;
Corporaal Henk
会议名称:
《International conference on computer design》
|
2015年
关键词:
Analytical models;
Bandwidth;
Computational modeling;
Hardware;
Mathematical model;
Pipelines;
Throughput;
43.
Design of high-performance, power-efficient optical NoCs using Silica-embedded silicon nanophotonics
机译:
使用二氧化硅嵌入式硅纳米光源性设计高性能,高功效光学NOCS
作者:
Kakoulli Elena
;
Soteriou Vassos
;
Koutsides Charalambos
;
Kalli Kyriacos
会议名称:
《International conference on computer design》
|
2015年
关键词:
Nanophotonics;
Optical refraction;
Optical variables control;
Optical waveguides;
Silicon;
44.
Fast boolean logic mapped on memristor crossbar
机译:
快速布尔逻辑映射在Memristor CrossBar上
作者:
Xie Lei
;
Nguyen Hoang Anh Du
;
Taouil Mottaqiallah
;
Bertels Said Hamdioui Koen
会议名称:
《International conference on computer design》
|
2015年
关键词:
Boolean functions;
Design methodology;
Latches;
Logic circuits;
Logic gates;
Memristors;
Threshold voltage;
45.
Increasing reconfigurability with memristive interconnects
机译:
增加与Memristive Interconnects的重新配置性
作者:
Demme John
;
Rajendran Bipin
;
Nowick Steven M.
;
Sethumadhavan Simha
会议名称:
《International conference on computer design》
|
2015年
关键词:
Arrays;
CMOS integrated circuits;
Integrated circuit interconnections;
Latches;
Logic gates;
Memristors;
Wires;
46.
Reliable and high performance STT-MRAM architectures based on controllable-polarity devices
机译:
基于可控极性设备的可靠和高性能的STT-MRAM架构
作者:
Shamsi Kaveh
;
Bi Yu
;
Jin Yier
;
Gaillardon Pierre-Emmanuel
;
Niemier Michael
;
Hu X.Sharon
会议名称:
《International conference on computer design》
|
2015年
关键词:
FinFETs;
Magnetic tunneling;
Mathematical model;
Performance evaluation;
47.
Clustering-based revision debug in regression verification
机译:
回归验证中基于聚类的修订调试
作者:
Maksimovic Djordje
;
Veneris Andreas
;
Poulos Zissis
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algorithm design and analysis;
Clustering algorithms;
Control systems;
Debugging;
Force;
Hardware design languages;
Machine learning algorithms;
48.
Non-enumerative correlation-aware path selection
机译:
非枚举相关感知路径选择
作者:
Somashekar Ahish Mysore
;
Tragoudas Spyros
;
Jayabharathi Rathish
会议名称:
《International conference on computer design》
|
2015年
关键词:
Clocks;
Correlation;
Delays;
Integrated circuit modeling;
Logic gates;
Monte Carlo methods;
49.
Exploring early and late ALUs for single-issue in-order pipelines
机译:
探索早期和晚期的单一问题有序管道
作者:
Bardizbanyan Alen
;
Larsson-Edefors Per
会议名称:
《International conference on computer design》
|
2015年
关键词:
Benchmark testing;
Clocks;
Integrated circuit modeling;
Optimization;
Pipelines;
Program processors;
50.
A pre-search assisted ILP approach to analog integrated circuit routing
机译:
预先搜索的辅助ILP方法来模拟集成电路路由
作者:
Wu Chia-Yu
;
Graeb Helmut
;
Hu Jiang
会议名称:
《International conference on computer design》
|
2015年
关键词:
Analog circuits;
Integrated circuits;
Layout;
Manuals;
Routing;
Runtime;
Wires;
Analog ICs;
Physical Design;
Routing;
51.
Deep Packet Field Extraction Engine (DPFEE): A pre-processor for network intrusion detection and denial-of-service detection systems
机译:
深包保护发动机(DPFEE):用于网络入侵检测和拒绝服务检测系统的预处理器
作者:
Jyothi Vinayaka
;
Addepalli Sateesh K.
;
Karri Ramesh
会议名称:
《International conference on computer design》
|
2015年
关键词:
Bandwidth;
Computer architecture;
Computer crime;
Engines;
Hardware;
Protocols;
52.
Resilient, UAV-embedded real-time computing
机译:
弹性,无人机嵌入式实时计算
作者:
Vega Augusto
;
Lin Chung-Ching
;
Swaminathan Karthik
;
Buyuktosunoglu Alper
;
Pankanti Sharathchandra
;
Bose Pradip
会议名称:
《International conference on computer design》
|
2015年
关键词:
Bandwidth;
Cameras;
Economic indicators;
Portable computers;
Real-time systems;
Streaming media;
Surveillance;
53.
A methodology for power characterization of associative memories
机译:
关联存储器功率特征方法
作者:
Li Dawei
;
Joshi Siddhartha
;
Ogrenci-Memik Seda
;
Hoff James
;
Jindariani Sergo
;
Liu Tiehui
;
Olsen Jamieson
;
Tran Nhan
会议名称:
《International conference on computer design》
|
2015年
关键词:
Associative memory;
Computer aided manufacturing;
Computer architecture;
Integrated circuit modeling;
Microprocessors;
Power demand;
Three-dimensional displays;
Content addressable memory (CAM);
NAND cell;
NOR cell;
Pattern Recognition;
Ternary cell;
VLSI circuits;
digital electronic circuits;
power modeling;
54.
SOP based logic synthesis for memristive IMPLY stateful logic
机译:
基于SOP的逻辑综合因忆内暗示有状态逻辑
作者:
Marranghello Felipe S.
;
Callegaro Vinicius
;
Reis Andre I.
;
Ribas Renato P.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algorithm design and analysis;
Boolean functions;
Input variables;
Memristors;
Performance evaluation;
Resistance;
Time complexity;
Implication logic;
digital circuit;
logic synthesis;
memristor;
stateful logic;
55.
Reactive clocks with variability-tracking jitter
机译:
具有变化跟踪抖动的无功时钟
作者:
Cortadella Jordi
;
Lavagno Luciano
;
Lopez Pedro
;
Lupon Marc
;
Moreno Alberto
;
Roca Antoni
;
Sapatnekar Sachin S.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Clocks;
Delays;
Integrated circuit modeling;
Jitter;
Phase locked loops;
Ring oscillators;
56.
A novel 3D graphics DRAM architecture for high-performance and low-energy memory accesses
机译:
用于高性能和低能量存储器访问的新型3D图形DRAM架构
作者:
Thakkar Ishan G
;
Pasricha Sudeep
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computers;
Conferences;
57.
Exploring the viability of stochastic computing
机译:
探索随机计算的可行性
作者:
de Aguiar Joao Marcos
;
Khatri Sunil P.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Adders;
Compressors;
Delays;
Generators;
Logic gates;
Modulation;
Radiation detectors;
58.
Fixed-function hardware sorting accelerators for near data MapReduce execution
机译:
Fixed-Function硬件排序加速器,用于近数据MapReduce执行
作者:
Pugsley Seth H.
;
Deb Arjun
;
Balasubramonian Rajeev
;
Li Feifei
会议名称:
《International conference on computer design》
|
2015年
关键词:
Acceleration;
Bandwidth;
Computer architecture;
Data processing;
Hardware;
Software;
Sorting;
59.
GPU acceleration for PCA-based statistical static timing analysis
机译:
基于PCA的统计静态定时分析GPU加速
作者:
Shen Yiren
;
Hu Jiang
会议名称:
《International conference on computer design》
|
2015年
关键词:
Acceleration;
Circuit synthesis;
Graphics processing units;
Logic gates;
Monte Carlo methods;
Parallel processing;
Timing;
60.
Keynote talk I: Ending the Tyranny of Amdahl's Law
机译:
主题演讲谈我:结束Amdahl法律的暴政
作者:
Austin Todd
会议名称:
《International conference on computer design》
|
2015年
关键词:
Computational modeling;
Computer architecture;
Computers;
Conferences;
Hardware;
Scalability;
Tutorials;
61.
A pair selection algorithm for robust RO-PUF against environmental variations and aging
机译:
一种对环境变化和老化鲁棒RO-PUF的对选择算法
作者:
Rahman Md.Tauhidur
;
Forte Domenic
;
Rahman Fahim
;
Tehranipoor Mark
会议名称:
《International conference on computer design》
|
2015年
关键词:
Correlation;
Cryptography;
Reliability;
62.
Runtime multi-optimizations for energy efficient on-chip interconnections1
机译:
用于节能片上互连的运行时多优化
作者:
He Yuan
;
Kondo Masaaki
;
Nakada Takashi
;
Sasaki Hiroshi
;
Miwa Shinobu
;
Nakamura Hiroshi
会议名称:
《International conference on computer design》
|
2015年
关键词:
Adaptation models;
Adaptive control;
Mathematical model;
Optimization;
Proposals;
Runtime;
Switches;
adaptive control;
energy efficiency;
modeling;
networks-on-chip;
optimization;
63.
Methods for analysing and improving the fault resilience of delay-insensitive codes
机译:
分析和提高延迟不敏感码的故障弹性的方法
作者:
Lechner Jakob
;
Steininger Andreas
;
Huemer Florian
会议名称:
《International conference on computer design》
|
2015年
关键词:
Circuit faults;
Encoding;
Rails;
Receivers;
Resilience;
Timing;
Transient analysis;
64.
Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis
机译:
通过高级合成加速乳腺癌检测微波成像算法
作者:
Pagliari Daniele Jahier
;
Casu Mario R.
;
Cartoni Luca P.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Antennas;
Array signal processing;
Instruction sets;
Microwave communication;
Microwave imaging;
65.
Side-channel power analysis of a GPU AES implementation
机译:
GPU AES实现的侧通道功率分析
作者:
Luo Chao
;
Fei Yunsi
;
Luo Pei
;
Mukherjee Saoni
;
Kaeli David
会议名称:
《International conference on computer design》
|
2015年
关键词:
Encryption;
Graphics processing units;
Hardware;
Instruction sets;
Power demand;
Registers;
66.
Exploiting request characteristics and internal parallelism to improve SSD performance
机译:
利用请求特征和内部并行性,提高SSD性能
作者:
Mao Bo
;
Wu Suzhen
会议名称:
《International conference on computer design》
|
2015年
关键词:
Ash;
Dispatching;
Parallel processing;
Performance evaluation;
System software;
Throughput;
Time factors;
I/O Scheduler;
Internal Parallelism;
Performance Evaluation;
Request Characteristics;
Solid State Drive;
67.
Chameleon: Adaptive energy-efficient heterogeneous network-on-chip
机译:
变色龙:自适应节能异构网络
作者:
Wu Ji
;
Dong Dezun
;
Liao Xiangke
;
Wang Li
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algorithm design and analysis;
Computers;
Logic gates;
Optimization;
Power demand;
Resource management;
Routing;
Network-on-Chip;
Power Gating;
Traffic Allocation;
68.
On the conditions of guaranteed k-fault tolerant systems supporting on-the-fly repairs
机译:
在禁用维修的保证k故障耐受系统的条件下
作者:
Banerjee Soumya
;
Rao Wenjing
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algebra;
Complexity theory;
Computational modeling;
Fault tolerance;
Fault tolerant systems;
Maintenance engineering;
Topology;
69.
Clotho: Proactive wearout deceleration in Chip-Multiprocessor interconnects
机译:
披丁:芯片 - 多处理器互连中的主动磨损减速
作者:
Vitkovskiy Arseniy
;
Soteriou Vassos
;
Gratz Paul V.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Correlation;
Electromigration;
Human computer interaction;
Integrated circuit interconnections;
Routing;
Stress;
Transistors;
70.
Combative cache efficacy techniques: Cache replacement in the context of independent prefetching in last level cache
机译:
良好的缓存疗效技术:在最后一级缓存中独立预取的上下文中缓存替换
作者:
Gomes Cesar
;
Hempstead Mark
会议名称:
《International conference on computer design》
|
2015年
关键词:
Approximation methods;
Benchmark testing;
Computers;
Context;
Electronics packaging;
Prefetching;
Radiation detectors;
71.
Bottom-up disjoint-support decomposition based on cofactor and boolean difference analysis
机译:
基于Cofactor和Boolean差异分析的自下而上的脱节支持分解
作者:
Callegaro Vinicius
;
Marranghello Felipe S.
;
Martins Mayler G.A.
;
Ribas Renato P.
;
Reis Andre I.
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algorithm design and analysis;
Boolean functions;
Data structures;
Digital circuits;
Input variables;
Logic gates;
Multiplexing;
EDA;
digital circuit;
disjoint support decomposition;
functional decomposition;
logic synthesis;
72.
Optimizing latency, energy, and reliability of 1T1R ReRAM through appropriate voltage settings
机译:
通过适当的电压设置优化1T1R RERAM的延迟,能量和可靠性
作者:
Mao Manqing
;
Cao Yu
;
Yu Shimeng
;
Chakrabarti Chaitali
会议名称:
《International conference on computer design》
|
2015年
关键词:
Arrays;
Microprocessors;
Programming;
Random access memory;
Reliability;
Resistance;
1T1R ReRAM;
IPC;
energy;
latency;
main memory;
reliability;
73.
Hybrid scratchpad and cache memory management for energy-efficient parallel HEVC encoding
机译:
用于节能并行HEVC编码的混合刮板和高速缓冲存储器管理
作者:
Song Chang
;
Ju Lei
;
Jia Zhiping
会议名称:
《International conference on computer design》
|
2015年
关键词:
Encoding;
Memory architecture;
Memory management;
Random access memory;
Standards;
System-on-chip;
Video coding;
74.
Logic simplification by minterm complement for error tolerant application
机译:
Minterm补充的逻辑简化用于误差容错应用
作者:
Ichihara Hideyuki
;
Inaoka Tomoya
;
Iwagaki Tsuyoshi
;
Inoue Tomoo
会议名称:
《International conference on computer design》
|
2015年
关键词:
Algorithm design and analysis;
Circuit faults;
Cities and towns;
Combinational circuits;
Error analysis;
Logic functions;
75.
Bandwidth-Aware Last-Level Caching: Efficiently Coordinating Off-Chip Read and Write Bandwidth
机译:
带宽感知的最后一级缓存:有效地协调切片读写写入带宽
作者:
Mainak Chaudhuri
;
Jayesh Gaur
;
Sreenivas Subramoney
会议名称:
《International conference on computer design》
|
2019年
关键词:
cache storage;
DRAM chips;
processor scheduling;
shared memory systems;
76.
SpecLock: Speculative Lock Forwarding
机译:
尺寸:推测锁转发
作者:
Pooria M. Yaghini
;
George Michelogiannakis
;
Paul V. Gratz
会议名称:
《International conference on computer design》
|
2019年
关键词:
cache storage;
protocols;
shared memory systems;
storage management;
77.
Static Function Prefetching for Efficient Code Management on Scratchpad Memory
机译:
静态功能预取在临时存储器上有效代码管理
作者:
Youngbin Kim
;
Kyoungwoo Lee
;
Aviral Shrivastava
会议名称:
《International conference on computer design》
|
2019年
关键词:
cache storage;
microprocessor chips;
program compilers;
78.
A New Traffic Offloading Method with Slow Switching Optical Device in Exascale Computer
机译:
EXASCALE计算机中具有慢速切换光学装置的新流量卸载方法
作者:
En Shao
;
Guangming Tan
;
Zhan Wang
;
Guojun Yuan
;
Ninghui Sun
会议名称:
《International conference on computer design》
|
2019年
关键词:
optical interconnections;
optical links;
optical switches;
parallel machines;
software defined networking;
telecommunication scheduling;
telecommunication traffic;
79.
VNet: A Versatile Network for Efficient Real-Time Semantic Segmentation
机译:
VNet:用于高效实时语义分割的多功能网络
作者:
Ning Lin
;
Hang Lu
;
Jingliang Gao
;
Shunjie Qiao
;
Xiaowei Li
会议名称:
《International conference on computer design》
|
2019年
关键词:
graphics processing units;
image reconstruction;
image representation;
image resolution;
image segmentation;
80.
AdapTimer: Hardware/Software Collaborative Timer Resistant to Flush-Based Cache Attacks on ARM-FPGA Embedded SoC
机译:
Adaptimer:硬件/软件协作定时器对ARM-FPGA嵌入式SOC上的基于杂志的缓存攻击
作者:
Jingquan Ge
;
Neng Gao
;
Chenyang Tu
;
Ji Xiang
;
Zeyi Liu
会议名称:
《International conference on computer design》
|
2019年
关键词:
application program interfaces;
cache storage;
embedded systems;
field programmable gate arrays;
hardware-software codesign;
microcontrollers;
system-on-chip;
81.
Reinforce Memory Error Protection by Breaking DRAM Disturbance Correlation Within ECC Words
机译:
通过破坏ECC单词中的DRAM扰动相关性来加强内存错误保护
作者:
Yicheng Wang
;
Yang Liu
;
Peiyun Wu
;
Zhao Zhang
会议名称:
《International conference on computer design》
|
2019年
关键词:
DRAM chips;
memory architecture;
probability;
reliability;
82.
Adaptive Masking: a Dynamic Trade-off between Energy Consumption and Hardware Security
机译:
自适应掩蔽:能源消耗和硬件安全之间的动态权衡
作者:
Maxime Montoya
;
Thomas Hiscock
;
Simone Bacles-Min
;
Anca Molnos
;
Jacques Fournier
会议名称:
《International conference on computer design》
|
2019年
关键词:
cryptography;
energy conservation;
Internet of Things;
power aware computing;
power consumption;
83.
To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement Policies for DRAM Caches
机译:
更新或不更新?DRAM缓存的带宽高效智能替换策略
作者:
Vinson Young
;
Moinuddin K. Qureshi
会议名称:
《International conference on computer design》
|
2019年
关键词:
cache storage;
DRAM chips;
84.
Compiler-Assisted Selection of Hardware Acceleration Candidates from Application Source Code
机译:
编译器辅助选择应用程序源代码的硬件加速候选者
作者:
Georgios Zacharopoulos
;
Lorenzo Ferretti
;
Giovanni Ansaloni
;
Giuseppe Di Guglielmo
;
Luca Carloni
;
Laura Pozzi
会议名称:
《International conference on computer design》
|
2019年
关键词:
hardware-software codesign;
program compilers;
resource allocation;
source code (software);
85.
PyOCN: A Unified Framework for Modeling, Testing, and Evaluating On-Chip Networks
机译:
Pyocn:用于建模,测试和评估片上网络的统一框架
作者:
Cheng Tan
;
Yanghui Ou
;
Shunning Jiang
;
Peitian Pan
;
Christopher Torng
;
Shady Agwa
;
Christopher Batten
会议名称:
《International conference on computer design》
|
2019年
关键词:
hypercube networks;
integrated circuit design;
integrated circuit testing;
system-on-chip;
86.
A Case for Software-Based Adaptive Routing in NUMA Systems
机译:
NUMA系统中基于软件的自适应路由的案例
作者:
Wonjun Song
;
John Kim
会议名称:
《International conference on computer design》
|
2019年
关键词:
multiprocessing systems;
multiprocessor interconnection networks;
multi-threading;
network routing;
processor scheduling;
resource allocation;
shared memory systems;
storage management;
87.
Value Speculation through Equality Prediction
机译:
通过平等预测值猜测
作者:
Kleovoulos Kalaitzidis
;
André Seznec
会议名称:
《International conference on computer design》
|
2019年
关键词:
multiprocessing systems;
parallel architectures;
88.
Efficient Scalable Three Operand Multiplier Over GF(2^m) Based on Novel Decomposition Strategy
机译:
基于新颖的分解策略,高效可扩展三个操作数乘数(2 ^ M)
作者:
Chiou-Yng Lee
;
Jiafeng Xie
会议名称:
《International conference on computer design》
|
2019年
关键词:
cryptography;
digital arithmetic;
optimisation;
polynomials;
Toeplitz matrices;
89.
PlaidML-HE: Acceleration of Deep Learning Kernels to Compute on Encrypted Data
机译:
PLADIM-HE:加速深度学习内核,用于在加密数据上计算
作者:
Huili Chen
;
Rosario Cammarota
;
Felipe Valencia
;
Francesco Regazzoni
会议名称:
《International conference on computer design》
|
2019年
关键词:
cryptography;
data privacy;
inference mechanisms;
learning (artificial intelligence);
90.
Constraint-Programmed Initial Sizing of Analog Operational Amplifiers
机译:
模拟运算放大器的约束编程初始尺寸
作者:
Inga Abel
;
Maximilian Neuner
;
Helmut Graeb
会议名称:
《International conference on computer design》
|
2019年
关键词:
constraint handling;
network synthesis;
operational amplifiers;
91.
SaberX4: High-Throughput Software Implementation of Saber Key Encapsulation Mechanism
机译:
SaberX4:Sabre键封装机制的高吞吐量软件实现
作者:
Sujoy Sinha Roy
会议名称:
《International conference on computer design》
|
2019年
关键词:
physics computing;
quantum cryptography;
92.
Red Teaming a Multi-Colored Bluetooth Bulb
机译:
红色组合多彩色蓝牙灯泡
作者:
Ryan Vrecenar
;
Michael Hall
;
Joshua Zshiesche
;
Mahesh Naidu
;
Jeyavijayan Rajendran
;
Stavros Kalafatis
会议名称:
《International conference on computer design》
|
2019年
关键词:
Bluetooth;
computer network security;
Internet of Things;
93.
Balancing Performance and Energy Efficiency of ONoC by Using Adaptive Bandwidth
机译:
使用自适应带宽平衡枚恩的性能和能源效率
作者:
Mingzhe Zhang
;
Lunkai Zhang
;
Frederic T. Chong
;
Zhiyong Liu
会议名称:
《International conference on computer design》
|
2019年
关键词:
energy conservation;
energy consumption;
integrated optics;
low-power electronics;
microprocessor chips;
network-on-chip;
optical links;
94.
IPSA: Integer Programming via Sparse Approximation for Efficient Test-Chip Design
机译:
IPSA:通过稀疏近似为高效测试芯片设计的整数编程
作者:
Qicheng Huang
;
Chenlei Fang
;
Zeye Liu
;
Ruizhou Ding
;
R. D. Shawn Blanton
会议名称:
《International conference on computer design》
|
2019年
关键词:
approximation theory;
computational complexity;
electronic engineering computing;
integer programming;
learning (artificial intelligence);
logic design;
logic testing;
regression analysis;
95.
Efficient Linear System Solution Techniques in the Simulation of Large Dense Mutually Inductive Circuits
机译:
高效的线性系统解决方案技术在模拟大密致互感电路
作者:
Charalampos Antoniadis
;
Milan Mihajlovic
;
Nestor Evmorfopoulos
;
Georgios Stamoulis
;
Vasilis F. Pavlidis
会议名称:
《International conference on computer design》
|
2019年
关键词:
circuit simulation;
inductance;
integrated circuit modelling;
iterative methods;
sparse matrices;
transient analysis;
96.
HiNUMA: NUMA-Aware Data Placement and Migration in Hybrid Memory Systems
机译:
hinuma:混合存储系统中的Numa感知数据放置和迁移
作者:
Zhuohui Duan
;
Haikun Liu
;
Xiaofei Liao
;
Hai Jin
;
Wenbin Jiang
;
Yu Zhang
会议名称:
《International conference on computer design》
|
2019年
关键词:
cache storage;
memory architecture;
multiprocessing systems;
resource allocation;
shared memory systems;
storage management;
97.
RepEC-Duet: Ensure High Reliability and Performance for Deduplicated and Delta-Compressed Storage Systems
机译:
REPEC-DUET:确保重复数据删除和Delta-Compress Storage系统的高可靠性和性能
作者:
Chunxue Zuo
;
Fang Wang
;
Ping Huang
;
Yuchong Hu
;
Dan Feng
会议名称:
《International conference on computer design》
|
2019年
关键词:
cache storage;
data compression;
data handling;
storage management;
system recovery;
98.
Fine-Grained Management of Thread Blocks for Irregular Applications
机译:
用于不规则应用的线块的细粒度管理
作者:
Jonathan Beaumont
;
Trevor Mudge
会议名称:
《International conference on computer design》
|
2019年
关键词:
graphics processing units;
multiprocessing systems;
multi-threading;
parallel architectures;
resource allocation;
scheduling;
storage management;
99.
ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network Accelerators
机译:
续订:加强基于Reram CrossBar的神经网络加速器的寿命
作者:
Wen Wen
;
Youtao Zhang
;
Jun Yang
会议名称:
《International conference on computer design》
|
2019年
关键词:
CMOS memory circuits;
logic design;
neural nets;
random-access storage;
100.
A High-Performance Processing-in-Memory Accelerator for Inline Data Deduplication
机译:
用于内联数据重复数据删除的高性能处理内存内存加速器
作者:
Young Seo Lee
;
Kyung Min Kim
;
Ji Heon Lee
;
Jeong Hwan Choi
;
Sung Woo Chung
会议名称:
《International conference on computer design》
|
2019年
关键词:
data handling;
parallel processing;
power aware computing;
storage management;
意见反馈
回到顶部
回到首页