掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US
Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
现代传输
山东通信技术
电子产品世界
电子商务世界
空间电子技术
电子测试
现代电影技术
电信工程技术与标准化
电力电子
移动通信
更多>>
相关外文期刊
Asian Communications
Wireless Section, Institution of Electrical Engineers - Proceedings of the
Advanced Materials for Optics and Electronics
Pacific Telecommunications Review
IEEE Transactions on Semiconductor Manufacturing
Electronics world
IEICE Transactions on fundamentals of electronics, communications & computer sciences
Revue du Cethedec
CQ Amateur radio
Solid-State Electronics
更多>>
相关中文会议
第十届全国互联网与音视频广播发展研讨会
第六届中国密码学学术会议
第八届全国微弱信号检测学术年会
中国电子学会可靠性分会第九届学术年会
中国电子学会真空电子学分会第十九届学术年会
2011数字电视中国峰会(CCBN2011)
雷达网第二十届年会暨提高雷达反侦察和抗干扰能力新技术研讨会
武汉市第二届学术年会通信学会2006年学术年会
首都信息网络发展学术研讨会
第六届中国卫星导航学术年会
更多>>
相关外文会议
International communities of invention and innovation
SEMICON China 2005; 20050316; Shanghai(CN)
Oxide-based materials and devices VIII
Coherent optical communication: components, subsystems, and systems
International Symposium on Broadcasting Technology(ISBT 2003, Beijing); 20030823-25; Beijing(CN)
2001 International Microprocesses and Nanotechnology Conference, Oct 31-Nov 2, 2001, Shimane, Japan
1995 workshop on high performance electron devices for microwave and optoelectronic applications
Conference on Three-Dimensional Image Capture and Applications VI; 20040119-20040120; San Jose,CA; US
Conference on MEMS Adaptive Optics; 20080122-24; San Jose,CA(US)
MOEMS Display, Imaging, and Miniaturized Microsystems IV
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
High-resolution Optical Overlay Metrology
机译:
高分辨率光学叠加计量
作者:
R. M. Silver
;
R. Attota
;
M. Stocker
;
M. Bishop
;
J. Jun
;
E. Marx
;
M. Davidson
;
R. Larrabee
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
2.
Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control
机译:
在集成散射仪上进行掩模开口测量的前馈,以改善栅极线宽控制
作者:
Matthew Sendelbach
;
Wesley Natzle
;
Chas Archie
;
Bill Banke
;
Dan Prager
;
Dan Engelhard
;
Jason Ferns
;
Asao Yamashita
;
Merritt Funk
;
Fumihiko Higuchi
;
Masayuki Tomoyasu
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
integrated scatterometry;
CD-SEM;
total measurement uncertainty;
TMU;
feedforward;
gate control;
chemical oxide removal;
COR;
ODP;
3.
Usage of Overlay Metrology Simulator in Design of Overlay Metrology Tools for the 65nm Node and beyond
机译:
覆盖计量模拟器在65nm及以上节点的覆盖计量工具设计中的使用
作者:
Yariv Simovitch
;
Shahar Gov
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
overlay;
TIS;
simulation;
aberrations;
modeling;
4.
Total measurement uncertainty and total process precision evaluation of a structural metrology approach to monitoring post-CMP processes
机译:
用于监视CMP后过程的结构计量方法的总测量不确定度和总过程精度评估
作者:
Wei Lu
;
Charles Archie
;
Stacey Stone
;
Hyoung Kang
;
Prasanna Chitturi
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
chemical mechanical planarization (CMP);
precision;
total process precision (TPP);
accuracy;
reference measurement system (RMS);
dual beam (DB);
focused ion beam (FIB);
X-SEM or cross-section SEM;
total measurement uncertainty (TMU);
5.
Spectroscopic ellipsometry-based scatterometry for depth and line width measurements of polysilicon-filled deep trenches
机译:
基于椭圆偏振光谱的散射测量法,用于测量多晶硅填充的深沟槽的深度和线宽
作者:
Thomas Hingst
;
Manfred Moert
;
Peter Reinig
;
Elke Backen
;
Rene Dost
;
Peter Weidner
;
John Hopkins
;
Ted Dziura
;
Assim Elazami
;
Regina Freed
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
spectroscopic ellipsometry;
DRAM;
polysilicon recess;
depth control;
6.
Successful application of angular scatterometry to process control in sub-100nm DRAM device
机译:
角散射测量法在100nm以下DRAM器件的过程控制中的成功应用
作者:
Jin-Ah Kim
;
Seong-Jin Kim
;
Soo-Bok Chin
;
Seok-Hwan OH
;
Doohoon Goo
;
Suk-Joo Lee
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
;
Joo-Tae Moon
;
Christopher J. Raymond
;
Mike Littau
;
Byungjoo Youn
;
Chang-Jin Sohn
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
optical;
metrology;
diffract;
CD;
OCD;
lithography;
7.
Scatterometry Feasibility Studies for 0.13-Micron Flash Memory Lithography Applications; Enabling Integrated Metrology
机译:
适用于0.13微米闪存光刻技术的散射测量可行性研究;启用集成计量
作者:
Kevin Lensing
;
Clint Miller
;
Bryan Swain
;
Michael Laughery
;
Anita Viswanathan
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
integrated metrology (IM);
advanced process control (APC);
shallow trench isolation (STI);
poly gate;
stacked gate;
aluminum interconnect;
8.
Scanner Overlay Mix and Match Matrix Generation; Capturing all Sources of Variation
机译:
扫描仪叠加混合和匹配矩阵生成;捕获所有变化来源
作者:
Stephen DeMoor
;
Jay Brown
;
John C. Robinson
;
Simon Chang
;
Colin Tan
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
alignment;
mix and match;
overlay;
metrology;
scanner;
stepper;
sources of variation;
9.
Simultaneous Critical Dimension and Overlay Measurements on a SEM Through Target Design for Inline Manufacturing Lithography Control
机译:
通过目标设计在SEM上同时进行关键尺寸和重叠测量,用于在线制造光刻控制
作者:
Eric Solecky
;
Jaime D. Morillo
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
critical dimension;
CD SEM;
overlay;
OL;
target design;
10.
Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology
机译:
减少测量不确定度,推动使用多种技术来支持计量
作者:
Bill Banke
;
Chas Archie
;
Matthew Sendelbach
;
Jim Robert
;
Jim Slinkman
;
Phil Kaszuba
;
Rick Kontra
;
Mick DeVries
;
Eric Solecky
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
metrology;
reference measurement system;
RMS;
total measurement uncertainty;
TMU;
critical dimension;
CD;
accuracy;
precision;
calibration;
11.
Reticle Surface Contaminants and Their Relationship to Sub-pellicle Defect Formation
机译:
掩模版表面污染物及其与亚膜片缺陷形成的关系
作者:
Brian J. Grenon
;
Kaustuve Bhattacharyya
;
William Volk
;
Khoi Phan
;
Andre Poock
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
reticle;
sub-pellicle;
contamination;
haze;
ammonium sulfate;
ToF-SFMS;
raman spectroscopy;
TeraStar;
STARlight;
URSA;
12.
Phase defect detection with spatial heterodyne interferometry
机译:
利用空间外差干涉法进行相位缺陷检测
作者:
Philip R. Bingham
;
Kenneth W. Tobin
;
Marylyn H. Bennett
;
Pat Marmillion
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
mask inspection;
phase defects;
spatial heterodyne interferometry;
13.
Preliminary Evaluation of Line Edge Roughness Metrology based on CD-SAXS
机译:
基于CD-SAXS的线边缘粗糙度度量衡的初步评估
作者:
Ronald L. Jones
;
Tengjiao Hu
;
Christopher L. Soles
;
Eric K. Lin
;
Wen-li Wu
;
Diego M. Casa
;
Arpan Mahorowala
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
CD metrology;
X-ray scattering;
sub-100 nm lithography;
14.
Dimensional Metrology of Resist Lines using a SEM Model-Based Library Approach
机译:
基于SEM模型的库方法的抗性线尺寸度量
作者:
J. S. Villarrubia
;
A. E. Vladar
;
B. D. Bunday
;
M. Bishop
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
critical dimension (CD);
linewidth;
model-based library metrology;
resist;
scanning electron microscopy (SEM);
15.
Evaluation of New In-chip and Arrayed Line Overlay Target Designs
机译:
评估新的片内和阵列线叠置目标设计
作者:
R. Attota
;
R. M. Silver
;
M. Bishop
;
E. Marx
;
J. Jun
;
M. Stocker
;
M. Davidson
;
R. Larrabee
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
in-chip overlay targets;
overlay metrology;
proximity effect;
optical interaction;
focus metric;
best focus;
CD metrology;
focus metric signature;
16.
Determination of Optimal Parameters for CD-SEM Measurement of Line Edge Roughness
机译:
CD-SEM测量线边缘粗糙度的最佳参数的确定
作者:
Benjamin D. Bunday
;
Michael Bishop
;
Donald McCormack
;
John S. Villarrubia
;
Andras E. Vladar
;
Ronald Dixson
;
Theodore Vorburger
;
Ndubuisi G. Orji
;
John A. Allgair
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
dimensional metrology;
line edge roughness (LER);
line width roughness (LWR);
metrics;
power spectral density (PSD);
scanning electron microscopy (SEM);
17.
Low Vacuum Microscopy for Mask Metrology
机译:
用于面罩计量的低真空显微镜
作者:
David C Joy
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
CD metrology;
variable pressure SEM;
charging;
ionization;
contamination;
18.
Logic Gate Scanner Focus Control in High-Volume Manufacturing Using Scatterometry
机译:
使用散射测量的大批量生产中的逻辑门扫描仪聚焦控制
作者:
Richard Dare
;
Bryan Swain
;
Michael Laughery
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
19.
Improved Etch and CMP Process Control Using In-Line AFM
机译:
使用在线AFM改进的蚀刻和CMP工艺控制
作者:
Thomas Trenkler
;
Thomas Kraiss
;
Ulrich Mantz
;
Peter Weidner
;
Rebecca Howland Pinto
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
AFM;
in-line metrology;
line monitoring;
after etch;
post CMP;
STI;
trench capacitor;
trench depth;
20.
Improved overlay metrology device correlation on 90 nm logic processes
机译:
在90 nm逻辑工艺上改善了重叠计量设备的相关性
作者:
Atsushi Ueno
;
Kouichirou Tsujita
;
Hiroyuki Kurita
;
Yasuhisa Iwata
;
Mark Ghinovker
;
Jorge Poplawski
;
Elyakim Kassel
;
Mike Adel
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
overlay;
optical metrology;
grating target;
overlay mark;
box-in-box;
AIM;
21.
Effects of different processing conditions on line edge roughness for 193nm and 157nm resists
机译:
不同工艺条件对193nm和157nm抗蚀剂的线边缘粗糙度的影响
作者:
M. Ercken
;
L.H.A. Leunissen
;
I. Pollentier
;
G. P. Patsis
;
V. Constantoudis
;
E. Gogolides
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
line edge roughness (LER);
metrology;
193 and 157nm lithography;
shallow trench isolation (STI);
22.
Electrical Linewidth Metrology for sub-65nm Applications
机译:
低于65nm应用的电气线宽计量
作者:
Greet Storms
;
Shaunee Cheng
;
Ivan Pollentier
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
ELM;
ECD offset;
ELM substrate material;
CD-SEM;
23.
Metrology of LER: influence of line-edge roughness (LER) on transistor performance
机译:
LER的计量:线边缘粗糙度(LER)对晶体管性能的影响
作者:
Atsuko Yamaguchi
;
Katsuhiko Ichinose
;
Satoshi Shimamoto
;
Hiroshi Fukuda
;
Ryuta Tsuchiya
;
Kazuhiro Ohnishi
;
Hiroki Kawada
;
Takashi Iizumi
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
line-edge roughness;
CD-SEM;
metrology;
transistor performance;
24.
OPC Accuracy and Process Window Verification Methodology for Sub-100nm Node
机译:
低于100nm节点的OPC精度和工艺窗口验证方法
作者:
Hyunjo Yang
;
Chanha Park
;
Jongkyun Hong
;
Goomin Jeong
;
Byeongho Cho
;
Jaeseung Choi
;
Choonsu Kang
;
Kiho Yang
;
Eunsook Kang
;
Seokho Ji
;
Donggyu Yim
;
Youngwook Song
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography;
RET;
core and peripherals;
PWQ;
CAD data;
OPC verification;
NMQ;
25.
Evaluation of Alignment Performance of Different Exposure Tools Under Various CMP Conditions
机译:
在不同的CMP条件下评估不同曝光工具的对准性能
作者:
Irit K. Abramovich
;
Woong-Jae Chung
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
alignment;
overlay;
W-CMP;
BEOL;
26.
Correlating scatterometry to CD-SEM and electrical gate measurements at the 90 nm node using TMU analysis
机译:
使用TMU分析将散射测量与CD-SEM和90 nm节点的电子门测量相关
作者:
Matthew Sendelbach
;
Chas Archie
;
Bill Banke
;
Jason Mayer
;
Hideaki Nii
;
Pedro Herrera
;
Matt Hankinson
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
reference measurement system;
RMS;
CD-SEM;
total measurement uncertainty;
TMU;
gate;
electrical;
lpoly;
correlation;
27.
Contact hole edge roughness: circles vs. stars
机译:
接触孔边缘粗糙度:圆形与星形
作者:
Andrew Habermas
;
Qingyou Lu
;
David Chase-Colin
;
Michael Har-Zvi
;
Aviram Tarn
;
Omer Sagi
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
contact edge roughness;
CER;
correlation length;
CL;
28.
Contaminant Dry-Down Rates in Photolithography Purge Gases
机译:
光刻吹扫气体中的污染物干燥率
作者:
Allan Tram
;
Russell J. Holmes
;
Jeffrey J. Spiegelman
;
Daniel Alvarez
;
Jr.
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
photolithography;
purge gases;
contaminants;
materials of construction;
extreme clean dry air (XCDA);
impurity dry-down;
condensable organics;
inorganic acids;
refractory compounds;
29.
Characterization of an 100 nm 1D pitch standard by metrological SEM and SFM
机译:
通过计量SEM和SFM表征100 nm一维间距标准
作者:
W. Haessler-Grohne
;
T. Dziomba
;
C.G. Frase
;
H. Bosse
;
J. Prochazka
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scanning electron microscope;
scanning force microscope;
AFM;
pitch calibration;
correlation;
fourier series;
30.
Comparison of solutions to the scatterometry inverse problem
机译:
散射法反问题的解决方案比较
作者:
Christopher J. Raymond
;
Mike Littau
;
Andrei Chuprin
;
Simon Ward
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
optical;
metrology;
diffract;
CD;
regression;
real-time;
optimization;
31.
An image stitching method to eliminate the distortion of the sidewall in linewidth measurement
机译:
一种消除线宽测量中侧壁变形的图像拼接方法
作者:
Xuezeng Zhao
;
Joseph Fu
;
Wei Chu
;
Cattien Nguyen
;
Theodore Vorburger
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
linewidth;
sidewall;
atomic force microscopy (AFM);
nanotube probe;
image stitching method;
image registration;
32.
Alignment in Chromeless Masks
机译:
无铬光罩中的对准
作者:
Moitreyee Mukherjee-Roy
;
Navab Singh
;
Sohan Singh Mehta
;
Hideki Suda
;
Takao Kubota
;
Yasuki Kimura
;
Hiroshi Kinoshita
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
33.
Alignment Mark Signal Simulation System for the Optimum Mark Feature Selection
机译:
最佳标记特征选择的对准标记信号仿真系统
作者:
Takashi Sato
;
Ayako Endo
;
Tatsuhiko Higashiki
;
Kazutaka Ishigo
;
Takuya Kono
;
Takashi Sakamoto
;
Yoshiyuki Shioyama
;
Satoshi Tanaka
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
alignment;
overlay;
mark;
signal;
simulation;
system;
process;
34.
Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles
机译:
通过控制多区域PEB轮廓增强晶片间CD的均匀性
作者:
Qiaolin Zhang
;
Paul Friedberg
;
Cherry Tang
;
Bhanwar Singh
;
Kameshwar Poolla
;
Costas J. Spanos
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
critical dimension uniformity (CDU);
across-wafer CDU;
multi-zone PEB bake plate;
zone offsets;
process control;
process modeling;
nonlinear optimization;
35.
A new optical technique for monitoring wafer curvature and stress during copper damascene processing
机译:
监测铜镶嵌工艺中晶片曲率和应力的新光学技术
作者:
Carol Boye
;
Ronald Carpio
;
Jennifer Woodring
;
Dave Owen
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
non-visual mechanical defects;
coherent grating system;
dual damascene;
36.
193 nm resist shrinkage carryover effect to a post-etch layer due to CD-SEM measurement
机译:
由于CD-SEM测量,对后蚀刻层具有193 nm的抗蚀剂收缩残留效应
作者:
Gary X. Cao
;
Nancy J. Wheeler
;
Alan Wong
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
193 nm resist;
CD shrinkage;
resist slimming;
CD-SEM;
carryover effect;
shrinkage finger-print;
lithography;
etch;
37.
90 nm Lithography Process Characterization using ODP Scatterometry Technology
机译:
使用ODP散射技术的90 nm光刻工艺表征
作者:
Chih-Ming Ke
;
Shinn-Sheng Yu
;
Yu-Hsi Wang
;
Yu-Jun Chou
;
Jeng-Horng Chen
;
Bih-Huey Lee
;
Hong-Yuan Chu
;
Hua-Tai Lin
;
Tsai-Sheng Gau
;
Chih-Hsiang Lin
;
Yao-Ching Ku
;
Burn J. Lin
;
Jacky Huang
;
JJ Hsu
;
Victor Liu
;
Dave Hetzer
;
Lip Yap
;
Wenge Yang
;
Araki Kaoru
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
CD-SEM;
CD uniformity;
optical CD;
ODP;
38.
3D-FEATURES ANALYSIS USING SPECTROSCOPIC SCATTEROMETRY
机译:
光谱比色法进行3D特征分析
作者:
Quintanilha R.
;
Thony P.
;
Henry D.
;
Hazart J.
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
spectroscopic scatterometry;
2D-gratings;
holes matrix;
39.
Resolution Enhancement Technology: The Past, the Present, and Extensions for the Future
机译:
分辨率增强技术:过去,现在和将来的扩展
作者:
Franklin M. Schellenberg
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
history of optics;
abbe;
resolution;
resolution enhancement;
RET;
polarization;
40.
The estimation of total measurement uncertainty in a multiple metrology tool environment
机译:
多种计量工具环境中总测量不确定度的估计
作者:
Justin J. Hwu
;
Thao J. Pham
;
Sukhbir Dulay
;
Andrew Lopez
;
Peter Wilkens
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
total measurement uncertainty;
total measurement bias uncertainty;
mandel analysis;
nonlinear total measurement bias uncertainty;
ANOVA;
CDSEM;
CDAFM;
41.
Time-based PEB adjustment for optimizing CD distributions
机译:
基于时间的PEB调整以优化CD分配
作者:
Paul D. Friedberg
;
Cherry Tang
;
Bhanwar Singh
;
Thomas Brueckner
;
Wolfram Gruendke
;
Bernd Schulz
;
Costas J. Spanos
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
post exposure bake;
photolithography;
critical dimension variation;
lithography process control;
42.
Study of 3D metrology techniques as an alternative to cross-sectional analysis at the RD level
机译:
在研发级别研究3D计量技术以替代横截面分析
作者:
J. Foucher
;
K. Miller
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
metrology;
CD;
AFM;
SEM;
precision;
roughness;
cross-section;
43.
Target Noise in overlay metrology
机译:
叠加计量中的目标噪声
作者:
Joel Seligson
;
Mike Adel
;
Pavel Izikson
;
Vladimir Levinski
;
Dan Yaffe
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
44.
Sub-50 nm isolated line and trench width artifacts for CD metrology
机译:
用于CD计量的低于50 nm的隔离线和沟槽宽度伪影
作者:
M. Tortonese
;
G. Lorusso
;
R. Blanquies
;
J. Prochazka
;
L. Grella
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
critical dimension;
CD-SEM;
CD-AFM;
line edge roughness;
line width roughness;
LER;
LWR;
45.
Reference Metrology using a Next Generation CD-AFM
机译:
使用下一代CD-AFM的参考计量
作者:
Ronald Dixson
;
Angela Guerry
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
AFM;
metrology;
CD;
linewidth;
reference measurement system;
standards;
calibration;
traceability;
46.
Results of Benchmarking of Advanced CD-SEMs at the 90nm CMOS Technology Node
机译:
在90nm CMOS技术节点上对先进CD-SEM进行基准测试的结果
作者:
Benjamin D. Bunday
;
Michael Bishop
;
John A. Allgair
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
47.
Overlay Measurement Tool up to 70nm Design Rule
机译:
覆盖测量工具,最高可达70nm设计规则
作者:
T. Fukui
;
H. Aoki
;
T. Endo
;
T.Yamada
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
overlay;
measurement;
TIS;
48.
Quantification of CD SEM Wafer Global Charging Effect on CD and CD Uniformity of 193 nm Lithography
机译:
CD SEM晶圆整体充电对193 nm平版印刷的CD和CD均匀性的量化
作者:
Chih-Ming Ke
;
Hsueh-Liang Hung
;
Anderson Chang
;
Jeng-Horng Chen
;
Tsai-Sheng Gau
;
Yao-Ching Ku
;
Burn J. Lin
;
Tadashi Otaka
;
Kazuhiro Ueda
;
Hiroki Kawada
;
Hiroaki Nomura
;
Nelson Ren
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
wafer charging;
retarding voltage focus;
CD;
CDU;
193 nm;
ArF resist;
shrinkage;
49.
Experimental methodology of contact edge roughness on sub-100 nm pattern
机译:
低于100 nm图案的接触边缘粗糙度的实验方法
作者:
Tae Yong Lee
;
Dongchul Ihm
;
Hyo Chun Kang
;
Jun Bum Lee
;
Byoung Ho Lee
;
Soo Bok Chin
;
Do Hyun Cho
;
Yang Hyong Kim
;
Ho Dong Yang
;
Kyoung Mo Yang
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
line edge roughness (LER);
line width roughness (LWR);
contact edge roughness (CER);
contact space roughness (CSR);
golden image;
geometric mean;
50.
Defect Inspection of Quartz-PSMs: Taking a Leap Forward
机译:
Quartz-PSM的缺陷检查:向前迈进
作者:
J. Heumann
;
F. Schurack
;
W. Dettmann
;
L. Zurbrick
;
M. Lang
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
phase shift masks;
quartz defects;
mask inspection;
defect printability;
51.
Damage-free Metrology of Porous Low-k Dielectrics using CD-SEM
机译:
使用CD-SEM的多孔低k介电体的无损计量
作者:
Zh. H. Cheng
;
M. Nozoe
;
M. Ezumi
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
52.
Optimization of scatterometry parameters for Shallow Trench Isolation (STI) monitor
机译:
优化浅沟槽隔离(STI)监视器的散射参数
作者:
Philippe Leray
;
Shaunee Cheng
;
Stephanie Kremer
;
Monique Ercken
;
Ivan Pollentier
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
shallow trench isolation (STI) characterization;
monitor;
CD;
53.
Multivariate analysis of a 100nm process measured by in-line scatterometry
机译:
在线散射法测量100nm工艺的多变量分析
作者:
Sebastien Egret
;
Tetsunari Furusho
;
Bart Baudemprez
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
PLS;
APC;
54.
Low Impact Resist Metrology: The use of ultra low voltage for high accuracy performance
机译:
低抗冲击计量:使用超低压实现高精度性能
作者:
G. Sundaram
;
N.T. Sullivan
;
T. Mai
;
C.M. Ke
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
metrology;
resist;
193nm;
CD-SEM;
ultra-low voltage;
slimming;
LER;
OPC;
55.
Improving the Uncertainty of Photomask Linewidth Measurements
机译:
改善光掩模线宽测量的不确定性
作者:
J. M. Pedulla
;
J. Potzick
;
R. Silver
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
photomask;
linewidth;
measurement uncertainty;
optical modeling;
56.
Effective-medium model for fast evaluation of scatterometric measurements on gratings
机译:
有效介质模型,用于快速评估光栅上的散射测量
作者:
A. Weidner
;
M. Slodowski
;
C. Halm
;
C. Schneider
;
L. Pfitzner
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
effective-medium model;
zero-order grating;
linewidth measurement;
57.
Methods for Evaluating Lithographic Performance of Exposure Tools for the 45nm node: ECD and Scatterometry
机译:
评价45纳米节点曝光工具平版印刷性能的方法:ECD和散射法
作者:
Karen Huang
;
Bryan J Rice
;
Brian Coombs
;
Regina Freed
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
ECD;
spectroscopic CD;
CD control;
lens characterization;
focus control;
scatterometry;
58.
Metrology requirements for lithography's next wave
机译:
光刻下一波的计量要求
作者:
Harry J. Levinson
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
metrology;
59.
Characterization of new CD photomask standards
机译:
表征新的CD光掩模标准
作者:
W. Mirande
;
B. Bodermann
;
W. Haessler-Grohne
;
C.G. Frase
;
S. Czerkas
;
H. Bosse
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
SEM;
UV transmission microscopy;
CD metrology;
photomask standard;
60.
Comparison of Actinic Lens Characterization by Arial Image Evaluation and Interferometric Testing for 157nm high-NA Micro-Objectives
机译:
Arial图像评估和干涉测试对157nm高NA微型物镜的光化透镜特性的比较
作者:
H. Schreiber
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
61.
CD-SEM-based Critical Shape Metrology of integrated circuits
机译:
基于CD-SEM的集成电路临界形状计量
作者:
Dmitry V. Gorelikov
;
Jason Remillard
;
Neal T. Sullivan
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
CD-SEM;
critical shape metrology;
CSM;
model-based library;
MBL;
monte-carlo;
sidewall angle;
CD bias;
62.
CD Metrology for the 45nm and 32nm Nodes
机译:
适用于45nm和32nm节点的CD计量
作者:
Bryan J. Rice
;
Heidi Cao
;
Ovijut Chaudhuri
;
Michael Grumski
;
Bruce Hartenek
;
Alex Liddle
;
Deirdre Olynick
;
Jeanette Roberts
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
CD metrology;
CD SEM;
scatterometry;
AFM;
dual beam;
HV SEM;
63.
Assessments on process parameters' influences to the proximity correction
机译:
评估过程参数对邻近校正的影响
作者:
Eun-Mi Lee
;
Sung-Woo Lee
;
Doo-Youl Lee
;
Soo-Han Choi
;
Joo-On Park
;
Sung-Gon Jung
;
Gi-Sung Yeo
;
Jung-Hyeon Lee
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
proximity;
OCV;
OPC;
grid size;
64.
A simple, robust, bias-free method of calculating CD-SEM resolution
机译:
一种简单,可靠,无偏差的计算CD-SEM分辨率的方法
作者:
Ira Rosenberg
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scanning electron microscopy;
resolution;
metrology;
measurement repeatability;
65.
A new analysis strategy for CD metrology using rapid photo goniometry method
机译:
快速光测角法进行CD计量分析的新策略
作者:
J. Petit
;
P. Barritault
;
J. Hazart
;
P. Chaton
;
P. Boher
;
M. Luet
;
T. Leroux
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
scatterometry;
RCWA;
photo-goniometry;
OFT;
fourier optics;
66.
A New Approach to Pattern Metrology
机译:
模式计量的新方法
作者:
Christopher P. Ausschnitt
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
integrated metrology;
process control;
critical dimension;
overlay;
film thickness;
lithography;
etch;
67.
193nm Resist Roughness Characterisation and Process Propagation Investigation Using a CD-SEM
机译:
使用CD-SEM的193nm抗蚀剂粗糙度表征和工艺传播研究
作者:
Thomas Marscnher
;
Anice Lee
;
Stefan Fuchs
;
Lars Voelkel
;
Christian Stief
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
CD-SEM;
line edge roughness;
193nm resist;
spatial frequency;
68.
A comparison of methods for in-chip overlay control at the 65 nm node
机译:
在65 nm节点上进行芯片内覆盖控制的方法比较
作者:
John C. Robinson
;
Mark Stakely
;
Jorge M. Poplawski
;
Pavel Izikson
;
Elyakim Kassel
;
Mike E. Adel
会议名称:
《Conference on Metroloty, Inspection, and Process Control for Microlithography XVIII pt.1; 20040223-20040226; Santa Clara,CA; US》
|
2004年
关键词:
overlay;
metrology;
in-chip;
pattern placement error;
process control;
意见反馈
回到顶部
回到首页