掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International System on Chip Conference
IEEE International System on Chip Conference
召开年:
2015
召开地:
Beijing(Cn)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A 9-bit, 110-MS/s pipelined-SAR ADC using time-interleaved technique with shared comparator
机译:
使用时间交错技术和共享比较器的9位110-MS / s流水线SAR ADC
作者:
Taehoon Kim
;
Sunkwon Kim
;
Jong-Kwan Woo
;
Hyongmin Lee
;
Suhwan Kim
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Analog to digital converter;
SAR ADC;
pipelined ADC;
time-interleaved technique;
2.
A ???30 dBm sensitive ultra low power RF energy harvesting front end with an efficiency of 70.1 at ???22 dBm
机译:
30 dBm敏感的超低功率RF能量采集前端,在22 dBm时的效率为70.1%
作者:
Nagaveni Vamsi
;
Pramod Kaddi
;
Ashudeb Dutta
;
Shiv Govind Singh
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Effective Isotropic Radiated Power(EIRP);
Lowdrop Out Regulator(LDO);
Power Conversion Efficiency (PCE);
Power On Reset(POR);
Radio Frequency Identification (RFID);
Ultra High Frequency(UHF);
Wireless Sensor Network (WSN);
3.
A filter design to increase accuracy of Lucy-Richardson deconvolution for analyzing RTN mixtures effects on VLSI reliability margin
机译:
一种用于提高Lucy-Richardson反卷积精度的滤波器设计,用于分析RTN混合物对VLSI可靠性裕度的影响
作者:
Hiroyuki Yamauchi
;
Worawit Somha
;
Yuan-Qiang Song
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Complex-shaped random telegraph noise;
Fail-bit analysis;
Lucy-Richardson deconvolution;
Ringing error;
4.
A comparative study of multi-GHz LCVCOs designed in 28nm CMOS technology
机译:
采用28nm CMOS技术设计的多GHz LCVCO的比较研究
作者:
E. K. Jorgensen
;
P. R. Mukund
会议名称:
《IEEE International System on Chip Conference》
|
2015年
5.
A deterministic, minimal routing algorithm for a toroidal, rectangular honeycomb topology using a 2-tupled relative address
机译:
确定性最小路由算法,用于使用2倍相对地址的环形矩形蜂窝拓扑
作者:
Alexander Fell
;
S. K. Nandy
;
Ranjani Narayan
会议名称:
《IEEE International System on Chip Conference》
|
2015年
6.
A digital background calibration technique for split DAC based SAR ADC by using redundant cycle
机译:
利用冗余周期的基于分离DAC的SAR ADC的数字背景校准技术
作者:
Wuguang Wang
;
Rulin Huang
;
Guoquan Sun
;
Weijun Mao
;
Xiaolei Zhu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
SAR ADC;
background calibration;
nonlinearity;
redundant cycle;
split CDAC;
7.
A digital-control sensorless current-mode boost converter with non-zero error bin compensation and seamless mode transition
机译:
具有非零误差仓补偿和无缝模式转换的数字控制无传感器电流模式升压转换器
作者:
Yanqi Zheng
;
Marco Ho
;
Ka Nang Leung
;
Jianping Guo
;
Biao Chen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
boost converter;
current program mode;
dc-dc converter;
digital control;
limited cycle oscillation;
8.
A fully integrated charge sharing active decap scheme for power supply noise suppression
机译:
完全集成的电荷共享有源decap方案,可抑制电源噪声
作者:
Ahmed M. Ammar
;
Rafik Guindi
;
Ethan Shih
;
Carlos Tokunaga
;
Jim Tschanz
;
Muhammad Khellah
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
active decap;
charge sharing;
power supply noise;
9.
A high speed and low power content-addressable memory(CAM) using pipelined scheme
机译:
使用流水线方案的高速低功耗内容可寻址存储器(CAM)
作者:
Shixiong Jiang
;
Pengzhan Yan
;
Ramalingam Sridhar
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Content-addressable memory (CAM);
high speed;
low power;
pipeline;
10.
A high throughput router with a novel switch allocator for network on chip
机译:
具有用于片上网络的新型交换机分配器的高吞吐量路由器
作者:
Pengzhan Yan
;
Shixiong Jiang
;
Ramalingam Sridhar
会议名称:
《IEEE International System on Chip Conference》
|
2015年
11.
A high-gain low-power low-noise-figure differential CMOS LNA with 33 current-reused negative-conductance accommodation structure
机译:
高增益,低功耗,低噪声的差分CMOS LNA,具有可重复使用33%电流的负电调节结构
作者:
To-Po Wang
;
Shih-Hua Chiang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Low-noise amplifier (LNA);
current-reused negative-conductance accommodation structure;
differential LNA;
12.
A multi-level collaboration low-power design based on embedded system
机译:
基于嵌入式系统的多级协作低功耗设计
作者:
Xiang Wang
;
Lin Li
;
Longbin Zhang
;
Weike Wang
;
Rong Zhang
;
Yi Zhang
;
Quanneng Shen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
clock gating;
dynamic power manager;
low-power;
multi-level;
13.
A novel fault-tolerant router architecture for network-on-chip reconfiguration
机译:
用于片上网络重新配置的新颖的容错路由器体系结构
作者:
Pengzhan Yan
;
Shixiong Jiang
;
Ramalingam Sridhar
会议名称:
《IEEE International System on Chip Conference》
|
2015年
14.
A novel flow fluidity meter for BiNoC bandwidth resource allocation
机译:
一种用于BiNoC带宽资源分配的新型流式流量计
作者:
Wen-Chung Tsai
;
Hsiao-En Lin
;
Ying-Cherng Lan
;
Sao-Jie Chen
;
Yu-Hen Hu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
bi-directional link;
fluidity degree;
network on chip;
resource allocation;
15.
A novel thermal-aware structure of TSV cluster
机译:
TSV集群的新型热感知结构
作者:
Jingyan Fu
;
Ligang Hou
;
Jinhui Wang
;
Bo Lu
;
Wei Zhao
;
Yang Yang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
TSV cluster;
structure of TSV cluster;
thermal simulation;
thermal-aware structure;
16.
A PAM-4 adaptive analog equalizer with decoupling control loops for 25-Gb/s CMOS serial-link receiver
机译:
具有用于25 Gb / s CMOS串行链路接收器的去耦控制环路的PAM-4自适应模拟均衡器
作者:
Shunbin Li
;
Peng Liu
;
Weidong Wang
;
Xing Fang
;
Dong Wu
;
Xianghui Xie
会议名称:
《IEEE International System on Chip Conference》
|
2015年
17.
A point of care electrochemical impedance spectroscopy device
机译:
护理点电化学阻抗谱仪
作者:
Zhijian Lu
;
Hongyi Wang
;
Syed Roomi Naqvi
;
Houqiang Fu
;
Yuji Zhao
;
Hongjiang Song
;
Jennifer Blain Christen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Arduino Uno;
CMOS;
Digital to Analog Converter;
Electrochemical Impedance Spectroscopy;
Hand-held;
Magnitude Phase Measurement;
Operational Amplifier;
Point of Care;
18.
A process-variation-aware multi-scenario high-level synthesis algorithm for distributed-register architectures
机译:
分布式寄存器体系结构的过程变量感知多场景高级综合算法
作者:
Koki Igawa
;
Youhua Shi
;
Masao Yanagisawa
;
Nozomu Togawa
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
hdr architecture;
high-level synthesis;
interconnection delay;
process variation;
scenario;
19.
A scan segmentation architecture for power controllability and reduction
机译:
一种扫描分段架构,可实现功耗控制和降低
作者:
Zhou Jiang
;
Dong Xiang
;
Kele Shen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
controllable capture cycle;
design for testability;
power-aware testing;
scan segmentation;
scan testing;
20.
A tunable inverter-based, low-voltage OTA for continuous-time ???? ADC
机译:
基于可调逆变器的低压OTA,可连续运行ADC
作者:
Islam Mostafa
;
Ayman Ismail
会议名称:
《IEEE International System on Chip Conference》
|
2015年
21.
Adaptive CDMA based multicast method for photonic networks on chip
机译:
基于自适应CDMA的片上光子网络组播方法
作者:
Soumyajit Poddar
;
Prasun Ghosal
;
Hafizur Rahaman
会议名称:
《》
|
2015年
关键词:
Adaptive CDMA;
CDMA Multicast;
Network-on-Chip;
Photonic NoC;
22.
All-digital deskew buffer using a hybrid control scheme
机译:
使用混合控制方案的全数字去歪斜缓冲器
作者:
Ting-Li Chu
;
Wen-Yu Chu
;
Yasuyoshi Fujii
;
Chorng-Sii Hwang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
delay-locked loop (DLL);
duty-cycle correction (DCC);
successive approximation register (SAR);
time-to-digital converter (TDC);
23.
An A-SAR ADC circuit with adaptive auxiliary comparison scheme
机译:
具有自适应辅助比较方案的A-SAR ADC电路
作者:
Suresh Koyada
;
Abhilash Karnatakam Nagabhushana
;
Stefan Leitner
;
Haibo Wang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
24.
An accelerator for classification using radial basis function neural network
机译:
基于径向基函数神经网络的分类加速器
作者:
Mahnaz Mohammadi
;
Rohit Ronge
;
Jayesh Ramesh Chandiramani
;
Soumitra Nandy
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Classification;
RBFNN;
Reconfigurable Architecture;
Reconfigurable RBFNN;
25.
An improved distributed video coding with low-complexity motion estimation at encoder
机译:
编码器低复杂度运动估计的改进分布式视频编码
作者:
Hsin-Ping Yang
;
Hsiao-Chi Hsieh
;
Sheng-Hsiang Chang
;
Sao-Jie Chen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Wyner-Ziv codec;
distributed video coding;
low-complexity motion estimation;
26.
Analysis and design of high performance wireless power delivery using on-chip octagonal inductor in 65-nm CMOS
机译:
使用片内八边形电感器在65nm CMOS中进行高性能无线供电的分析和设计
作者:
Weijun Mao
;
Liusheng Sun
;
Junwei Xu
;
Jiajia Wu
;
Xiaolei Zhu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
efficiency;
inductor;
power;
wireless;
27.
Analysis of a serial link for power supply induced jitter
机译:
电源引起的抖动的串行链路分析
作者:
Jai Narayan Tripathi
;
Hiten Advani
;
Raj Kumar Nagpal
;
Vijender Kumar Sharma
;
Rakesh Malik
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Jitter;
Power Delivery Networks;
Power Supply Induced Jitter (PSIJ);
Serial Links;
System-On-Chip (SoC);
28.
Banquet speaker
机译:
宴会音箱
作者:
Yervant Zorian
会议名称:
《IEEE International System on Chip Conference》
|
2015年
29.
Can systems extend to polymer? SoP architecture design and challenges
机译:
系统可以扩展到聚合物吗? SoP架构设计和挑战
作者:
Ujjwal Gupta
;
Sankalp Jain
;
Umit Y. Ogras
会议名称:
《IEEE International System on Chip Conference》
|
2015年
30.
Cascoded flipped voltage follower based output-capacitorless low-dropout regulator for SoCs
机译:
基于级联的翻转电压跟随器的SoC无输出电容器低压降稳压器
作者:
Guangxiang Li
;
Jianping Guo
;
Yanqi Zheng
;
Mo Huang
;
Dihu Chen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Capacitorless;
cascaded flipped voltage follower (CAFVF);
cascode compensation;
low-dropout (LDO) regulator;
31.
Design of a 12-bit 0.83 MS/s SAR ADC for an IPMI SoC
机译:
用于IPMI SoC的12位0.83 MS / s SAR ADC的设计
作者:
Han Zhou
;
Xiaoyan Gui
;
Peng Gao
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
C-R hybrid DAC;
SAR ADC;
SoC;
low power;
placement and routing;
32.
Designing a SoC to control the next-generation space exploration flight science instruments
机译:
设计SoC以控制下一代太空探索飞行科学仪器
作者:
Xabier Iturbe
;
Didier Keymeulen
;
Emre Ozer
;
Patrick Yiu
;
Daniel Berisford
;
Kevin Hand
;
Robert Carlson
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Avionics;
Fault-Tolerance;
High-Performance;
RHBD;
Signal Processing;
System-on-Chip;
33.
Efficient stochastic list successive cancellation decoder for polar codes
机译:
极性码的有效随机列表连续消除解码器
作者:
Xiao Liang
;
Chuan Zhang
;
Menghui Xu
;
Shunqing Zhang
;
Xiaohu You
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Polar code;
list SC decoder;
low latency;
stochastic decoding;
34.
EM independent Gaussian approximate message passing and its application in OFDM impulsive noise mitigation
机译:
EM独立的高斯近似消息传递及其在OFDM脉冲噪声抑制中的应用
作者:
Yun Chen
;
YuanZhou Hu
;
Yizhi Wang
;
Xiaoyang Zeng
;
David Huang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Approximate message passing;
compressed sensing;
expectation maximization;
impulsive noise;
orthogonal frequency division multiplexing (OFDM);
35.
Energy-efficient gas recognition system with event-driven power control
机译:
具有事件驱动功率控制的节能气体识别系统
作者:
Chun-Ying Huang
;
Po-Tsang Huang
;
Chih-Chao Yang
;
Ching-Te Chuang
;
Wei Hwang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
ReRAM;
event-driven;
gas recognition;
zero-leakge;
36.
ESD protection design with stacked low-voltage devices for high-voltage pins of battery-monitoring IC
机译:
具有用于电池监视IC的高压引脚的堆叠式低压设备的ESD保护设计
作者:
Chia-Tsen Dai
;
Ming-Dou Ker
会议名称:
《IEEE International System on Chip Conference》
|
2015年
37.
Evaluation of energy-efficient latch circuits with hybrid tunneling FET and FinFET devices for ultra-low-voltage applications
机译:
使用混合隧穿FET和FinFET器件评估超低电压应用的节能锁存电路
作者:
Tse-Ching Wu
;
Chien-Ju Chen
;
Yin-Nien Chen
;
Vita Pi-Ho Hu
;
Pin Su
;
Ching-Te Chuang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
FinFET;
Verilog-A model;
fin line-edge roughness;
hybrid circuit;
latch;
tunneling FET;
work function variation;
38.
Exploiting multi-band transmission line interconnects to improve the efficiency of cache coherence in multiprocessor system-on-chip
机译:
利用多频带传输线互连来提高多处理器片上系统中缓存一致性的效率
作者:
Qi Hu
;
Kejun Wu
;
Peng Liu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
39.
FAcET: Fast and accurate power/energy estimation tool for CPU-GPU platforms at architectural-level
机译:
FAcET:在架构级别上针对CPU-GPU平台的快速,准确的功率/能量估算工具
作者:
Santhosh Kumar Rethinagiri
;
Oscar Palomar
;
Javier Arias Moreno
;
Adrian Cristal
;
Osman Unsal
会议名称:
《IEEE International System on Chip Conference》
|
2015年
40.
Fault-resilient routing unit in NoCs
机译:
NoC中的故障恢复路由单元
作者:
Xiaofan Zhang
;
Masoumeh Ebrahimi
;
Letian Huang
;
Guangjun Li
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Fault Tolerance;
Network-On-Chip;
Redundant Routing Unit Design;
41.
Floorplan and congestion aware framework for optimal SRAM selection for memory subsystems
机译:
平面图和拥塞感知框架,可为存储器子系统选择最佳的SRAM
作者:
Gaurav Narang
;
Alexander Fell
;
Prakhar Raj Gupta
;
Anuj Grover
会议名称:
《IEEE International System on Chip Conference》
|
2015年
42.
Formal equivalence checking between SLM and RTL descriptions
机译:
SLM和RTL描述之间的形式等效检查
作者:
Jian Hu
;
Tun Li
;
Sikun Li
会议名称:
《IEEE International System on Chip Conference》
|
2015年
43.
Loop acceleration and instruction repeat support for application specific instruction-set processors
机译:
对特定指令集处理器的循环加速和指令重复支持
作者:
Zhenzhi Wu
;
Dake Liu
;
Xiaoyang Li
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
ASIP;
Instruction repeat;
Loop acceleration;
44.
High-PSR CMOS LDO with embedded ripple feedforward and energy-efficient bandwidth extension
机译:
具有嵌入式纹波前馈和节能带宽扩展功能的高PSR CMOS LDO
作者:
Liuyan Chen
;
Qi Cheng
;
Jianping Guo
;
Min Chen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Low-dropout regulator (LDO);
biomedical implants;
embedded feed-forward;
energy autonomous;
power-supply ripple rejection (PSR);
wide bandwidth;
45.
High-throughput MQ encoder for pass-parallel EBCOT in JPEG2000
机译:
JPEG2000中用于并行并行EBCOT的高吞吐量MQ编码器
作者:
Na Bao
;
Zhe Jiang
;
Zhiheng Qi
;
Wei Zhang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
JPEG2000;
MQ encoder;
pass-parallel;
46.
Instruction decoders based on pattern factorization
机译:
基于模式分解的指令解码器
作者:
Ricardo Santos
;
Renan Marks
;
Rafael Alves
;
Felipe Araujo
;
Renato Santos
会议名称:
《IEEE International System on Chip Conference》
|
2015年
47.
KnapSim ??? Run-time efficient hardware-software partitioning technique for FPGAs
机译:
KnapSim ??? FPGA的运行时高效软硬件分区技术
作者:
Kratika Garg
;
Yan Lin Aung
;
Siew-Kei Lam
;
Thambipillai Srikanthan
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
hardware-software partitioning;
knapsack;
simulated annealing;
48.
Low noise output stage for oversampling audio DAC
机译:
低噪声输出级,用于对音频DAC进行过采样
作者:
Yujin Park
;
Han Yang
;
Hyunjong Kim
;
Jun Soo Cho
;
Suhwan Kim
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
analog output stage;
audio DAC;
switched capacitor DAC;
track and hold circuit;
49.
Low power design for on-chip networking processing system
机译:
片上网络处理系统的低功耗设计
作者:
Jie Jin
;
Lingling Sun
;
Feng Guo
;
Xiaojun Wang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Control Policy;
Dynamic Frequency Scaling;
Ethernet Port Switch;
NetFPGA;
SOC;
50.
Low-latency power-efficient adaptive router design for network-on-chip
机译:
片上网络的低延迟高效节能自适应路由器设计
作者:
Nasim Nasirian
;
Magdy Bayoumi
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Network-on-Chip (NOC);
Routing Computation (RC);
System-on-Chip (SOC);
wormhole switching;
51.
Low-voltage 9T FinFETSRAM cell for low-power applications
机译:
适用于低功率应用的低压9T FinFETSRAM单元
作者:
Farshad Moradi
;
Mohammad Tohidi
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
CMOS;
Low-Voltage;
Read Static Noise Margin;
SRAM;
Write Margin;
52.
Memory cost analysis for OpenFlow multiple table lookup
机译:
OpenFlow多表查找的内存成本分析
作者:
Keissy Guerra Perez
;
Sandra Scott-Hayward
;
Xin Yang
;
Sakir Sezer
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Lookup Algorithms;
Multi Table Lookup;
OpenFlow;
Packet Classification;
Software-Defined Networking;
53.
Modelling visual attention towards embodiment cognition on a reconfigurable and programmable system
机译:
对可重配置和可编程系统上的实施认知建模视觉注意
作者:
Shufan Yang
;
Renfa Li
;
Qiang Wu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Dynamical neual field;
Visual Attetnion;
system-on-chip;
54.
Optimal realization of switched-capacitor circuits by symbolic analysis
机译:
通过符号分析优化开关电容器电路
作者:
Yanjie Gu
;
Guoyong Shi
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
circuit optimization;
switched-capacitor (SC);
symbolic analysis;
z-domain transfer function (TF);
55.
Multi-objective optimization of a low-noise antenna amplifier for multi-constellation satellite-navigation receivers
机译:
多星座卫星导航接收机低噪声天线放大器的多目标优化
作者:
Josef Dobes
;
Jan Michal
;
Jakub Popp
;
Martin Grabner
;
Frantisek Vejrazka
;
Jakub Kakona
会议名称:
《IEEE International System on Chip Conference》
|
2015年
56.
Novel ECC structure and evaluation method for NAND flash memory
机译:
NAND闪存的新型ECC结构及评估方法
作者:
Jiang Xiao-bo
;
Tan Xue-qing
;
Huang Wei-pei
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
ECC structure;
Error-free information capacity;
NAND flash memory;
57.
On microarchitectural modeling for CNFET-based circuits
机译:
基于CNFET的电路的微体系结构建模
作者:
Tianjian Li
;
Hao Chen
;
Weikang Qian
;
Xiaoyao Liang
;
Li Jiang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
58.
On the encoding complexity of systematic polar codes
机译:
关于系统极性码的编码复杂度
作者:
Liping Li
;
Wenyi Zhang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
59.
Optimization of best polarity searching for mixed polarity reed-muller logic circuit
机译:
混合极性干混逻辑电路最佳极性搜索的优化
作者:
Limin Xiao
;
Zhenxue He
;
Li Ruan
;
Rong Zhang
;
Tongsheng Xia
;
Xiang Wang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Genetic Algorithm;
Mixed Polarity Reed-Muller;
Nearest Neighbor;
Polarity Conversion Sequence;
Polarity Optimization;
60.
Partitioning-based multiplexer network synthesis for field-data extractors
机译:
用于现场数据提取器的基于分区的多路复用器网络综合
作者:
Koki Ito
;
Yutaka Tamiya
;
Masao Yanagisawa
;
Nozomu Togawa
会议名称:
《IEEE International System on Chip Conference》
|
2015年
61.
Per-flow state management technique for high-speed networks
机译:
高速网络的按流状态管理技术
作者:
Xin Yang
;
Sakir Sezer
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
DDR SDRAM;
flow state;
lookup table;
network flow processing;
stateful classification;
62.
Reconfigurable hardware architecture of the spatial pooler for hierarchical temporal memory
机译:
用于分层临时存储器的空间池的可重新配置硬件体系结构
作者:
Abdullah M. Zyarah
;
Dhireesha Kudithipudi
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Cortical Learning Algorithm (CLA);
Hierarchical Temporal Memory (HTM);
Neocortex;
Spatial Pooler;
63.
Research on crosstalk issue of through silicon via for 3D integration
机译:
3D集成硅通孔的串扰问题研究
作者:
Ting Kang
;
Zhaowen Yan
;
Wei Zhang
;
Jianwei Wang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
3D integration;
Crosstalk suppression;
Electrical modeling of TSV;
NEXT and FEXT crosstalk;
64.
Session T1A: Tutorial: Phase-locked clock generation for SoC: Circuit and system design aspects
机译:
主题T1A:教程:SoC的锁相时钟生成:电路和系统设计方面
作者:
Woogeun Rhee
会议名称:
《IEEE International System on Chip Conference》
|
2015年
65.
Session T1B: Tutorial: SoC testing
机译:
分会场T1B:教程:SoC测试
作者:
Yu Huang
;
Janusz Rajski
会议名称:
《IEEE International System on Chip Conference》
|
2015年
66.
SESSION T2A: Tutorial: Advanced ESD protection design for CMOS circuits and systems
机译:
SESSION T2A:教程:CMOS电路和系统的高级ESD保护设计
作者:
Ming-Dou Ker
会议名称:
《IEEE International System on Chip Conference》
|
2015年
67.
Session T2B: Tutorial: Internet of Things (IoT) ??? Opportunities for SoC designers
机译:
T2B会议:教程:物联网(IoT)??? SoC设计人员的机会
作者:
Ramalingam Sridhar
会议名称:
《IEEE International System on Chip Conference》
|
2015年
68.
Session T3A: Tutorial: From frequency to time-average-frequency: A paradigm shift in the design of electronic systems
机译:
分会场T3A:教程:从频率到时间平均频率:电子系统设计的范式转变
作者:
Liming Xiu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
69.
Session T3B: Tutorial: A self-powered biomedical SoC for wearable health care
机译:
分会场T3B:教程:可穿戴式医疗保健的自供电生物医学SoC
作者:
Mohammed Ismail
会议名称:
《IEEE International System on Chip Conference》
|
2015年
70.
Session T4A: Tutorial: Tiny DC-sourced single inductor charge-supply ICs
机译:
分会场T4A:教程:微小的直流源单电感器充电IC
作者:
Gabriel Rincon-Mora
会议名称:
《IEEE International System on Chip Conference》
|
2015年
71.
Session T4B: Tutorial: Emerging non-volatile memory: Device, circuit, and architecture
机译:
会话T4B:教程:新兴的非易失性存储器:设备,电路和体系结构
作者:
Guanyu Sun
会议名称:
《IEEE International System on Chip Conference》
|
2015年
72.
Statistical analysis and parametric yield estimation of standard 6T SRAM cell for different capacities
机译:
不同容量的标准6T SRAM单元的统计分析和参量估算
作者:
Anil Kumar Gundu
;
Mohammad S. Hashmi
;
Ramkesh Sharma
;
Naushad Ansari
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Design of Experiments (DoE);
Monte-Carlo (MC);
Optimization;
SNM;
SRAMs;
Yield;
73.
Statistical rare event analysis using smart sampling and parameter guidance
机译:
使用智能采样和参数指导进行统计罕见事件分析
作者:
Yue Zhao
;
Hosoon Shin
;
Haibao Chen
;
Sheldon X. -D. Tan
;
Guoyong Shi
;
Xin Li
会议名称:
《IEEE International System on Chip Conference》
|
2015年
74.
Symmetric write operation for 1T-1MTJ STT-RAM cells using negative bitline technique
机译:
使用负位线技术对1T-1MTJ STT-RAM单元进行对称写操作
作者:
Hooman Farkhani
;
Ali Peiravi
;
Jens Kargaard Madsen
;
Farshad Moradi
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
CMOS;
MTJ;
STT-RAM;
symmetric write;
75.
Synthesis and verification of cyclic combinational circuits
机译:
循环组合电路的综合与验证
作者:
Jui-Hung Chen
;
Yung-Chih Chen
;
Wan-Chen Weng
;
Ching-Yi Huang
;
Chun-Yao Wang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
76.
The evolutionary spintronic technologies and their usage in high performance computing
机译:
进化型自旋电子技术及其在高性能计算中的应用
作者:
Hai Helen Li
;
Xiuyuan Bi
;
Zhenyu Sun
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
MLC;
SLC;
STT-RAM;
Spintronic technology;
racetrack memory;
77.
Timing-driven placement for carbon nanotube circuits
机译:
时序驱动的碳纳米管电路布局
作者:
Chen Wang
;
Li Jiang
;
Shiyan Hu
;
Tianjian Li
;
Xiaoyao Liang
;
Naifeng Jing
;
Weikang Qian
会议名称:
《IEEE International System on Chip Conference》
|
2015年
78.
VCAS: Viewing context aware power-efficient mobile video embedded memory
机译:
VCAS:查看上下文感知的节能移动视频嵌入式存储器
作者:
Dongliang Chen
;
Xin Wang
;
Jinhui Wang
;
Na Gong
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
SRAM;
embedded memory;
mobile video;
power-efficient;
quality of experience (QoE);
viewing context;
79.
???Five Forces Shaping the Silicon World: Advanced sensing and intelligence in IoT and vision???
机译:
塑造硅世界的五种力量:物联网和视觉中的先进传感和智能
作者:
Chris Rowen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
80.
???High-level synthesis and beyond ??? From datacenters to IoTs???
机译:
高层综合和超越从数据中心到物联网???
作者:
Jason Cong
会议名称:
《IEEE International System on Chip Conference》
|
2015年
81.
???Unicorns and centaurs: Architecting SOCs for software defined networking???
机译:
独角兽和人马:为软件定义的网络架构SOC。
作者:
Gavin Stark
会议名称:
《IEEE International System on Chip Conference》
|
2015年
82.
???Venice: A cost-effective architecture for datacenter servers???
机译:
威尼斯:一种用于数据中心服务器的经济高效的体系结构。
作者:
Rui Hou
会议名称:
《IEEE International System on Chip Conference》
|
2015年
83.
A 0.68 pJ/bit inductor-less optical receiver for 20 Gbps with 0.0025 mm2 area in 28 nm CMOS
机译:
0.68 pJ / bit无电感器的光接收器,适用于20 Gbps,面积为0.0025 mm2,在28 nm CMOS中
作者:
Laszlo Szilagyi
;
Ronny Henker
;
Frank Ellinger
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
CMOS transimpedance amplifier;
active peaking;
broadband low power link;
optical receiver;
84.
A 1.2V wide-band reconfigurable mixer for wireless application in 65nm CMOS technology
机译:
用于65nm CMOS技术的无线应用的1.2V宽带可重构混频器
作者:
Nisha Gupta
;
A R Aravinth Kumar
;
Ashudeb Dutta
;
Shiv Govind Singh
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Reconfigurable;
active;
mixer;
multi-standard;
passive;
receivers;
85.
A 12-bit 1.74-mW 20-MS/s DAC with resistor-string and current-steering hybrid architecture
机译:
具有电阻器串和电流控制混合架构的12位1.74mW 20-MS / s DAC
作者:
Bill Ma
;
Qinjin Huang
;
Fengqi Yu
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
DAC;
class AB amplifier;
current steering;
hybrid;
low power;
resistor string;
slew rate enhance;
86.
A 128-kb 10 power reduced 1T high density ROM with 0.56 ns access time using bitline edge sensing in sub 16nm bulk FinFET technology
机译:
使用16nm以下FinFET技术中的位线边缘感应功能,具有0.56 ns访问时间的128kb 10%功耗降低1T高密度ROM。
作者:
Vaibhav Verma
;
Sachin Taneja
;
Pritender Singh
;
Sanjeev Kumar Jain
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
16nm;
1T read only memory (ROM);
FinFET;
edge sensing;
high speed;
level detector;
low power;
87.
A 20 GHz high speed, low jitter, high accuracy and wide correction range duty cycle corrector
机译:
20 GHz高速,低抖动,高精度和宽校正范围占空比校正器
作者:
Jun Guo
;
Peng Liu
;
Weidong Wang
;
Jicheng Chen
;
Yingtao Jiang
会议名称:
《IEEE International System on Chip Conference》
|
2015年
88.
A 320MHz???2.56GHz low jitter phase-locked loop with adaptive-bandwidth technique
机译:
具有自适应带宽技术的320MHz×2.56GHz低抖动锁相环
作者:
Seok Min Jung
;
Janet Meiling Roveda
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
adaptive-bandwidth;
closed loop voltage controlled oscillator (VCO);
jitter;
phase-locked loop (PLL);
89.
A 5-b 1-GS/s 2.7-mW binary-search ADC in 90nm digital CMOS
机译:
采用90nm数字CMOS的5-b 1-GS / s 2.7mW二进制搜索ADC
作者:
Yung-Hui Chung
;
Cheng-Hsun Tsai
;
Hsuan-Chin Yeh
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
Analog-to-digital converter (ADC);
SAR ADC;
asynchronous;
binary-search ADC;
flash ADC;
90.
A 61 ??A/MHz reconfigurable application-specific processor and system-on-chip for Internet-of-Things
机译:
一个用于物联网的61 A / MHz可重新配置的专用处理器和片上系统
作者:
Yuxiang Huan
;
Ning Ma
;
Stefan Blixt
;
Zhuo Zou
;
Lirong Zheng
会议名称:
《IEEE International System on Chip Conference》
|
2015年
91.
A 6T SRAM cell based pipelined 2R/1W memory design using 28nm UTBB-FDSOI
机译:
使用28nm UTBB-FDSOI的基于6T SRAM单元的流水线2R / 1W存储器设计
作者:
Ramandeep Kaur
;
Alexander Fell
;
Harsh Rawat
会议名称:
《IEEE International System on Chip Conference》
|
2015年
关键词:
2R/1W;
6T;
SRAM;
dual-port;
single-port;
92.
A 802.15.3c/802.11ad compliant 24 Gb/s FFT processor for 60 GHz communication systems
机译:
兼容802.15.3c / 802.11ad的24 Gb / s FFT处理器,适用于60 GHz通信系统
作者:
Henry Lopez Davila
;
Chun-Yi Liu
;
Wei-Chang Liu
;
Shen-Jui Huang
;
Shyh-Jye Jou
;
Sau-Gee Chen
会议名称:
《IEEE International System on Chip Conference》
|
2015年
意见反馈
回到顶部
回到首页