掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Metrology, Inspection, and Process Control for Microlithography
Conference on Metrology, Inspection, and Process Control for Microlithography
召开年:
2020
召开地:
San Jose(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Metrology for Advanced Transistor and Memristor Devices and Materials
机译:
先进晶体管和忆阻器设备与材料的计量
作者:
Alain C. Diebold
;
Nathaniel C. Cady
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
MMSE;
scatterometry;
Nanowire FETs;
Nanosheet FETs;
optical metrology;
2.
Understanding advanced DRAM edge placement error budget and opportunities for control
机译:
了解高级DRAM边缘放置错误预算和控制机会
作者:
Jaeseung Jeong
;
Jinho Lee
;
Jinsun Kim
;
Sunyoung Yea
;
Chan Hwang
;
Seung Yoon Lee
;
Jeongjin Lee
;
Joonsoo Park
;
Peter Nikolsky
;
Daniel Park
;
Antonio Corradi
;
Hyun-Woo Yu
;
Sun-Wook Jung
;
Denis Ovchinnikov
;
Vadim Timoshkov
;
Isabel de la Fuente Valentin
;
Yuxiang Yin
;
Kaustubh Padhye
;
Wim Tel
;
Harm Dillen
;
Koen Thuijs
;
Daan Slotboom
;
Miao Wang
;
Rhys Su
;
Marc Kea
;
Jin-Woo Lee
;
Yun-A Sung
;
Sang-Uk Kim
;
Young-Hoon Song
;
James Lee
;
Oh-Sung Kwon
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Edge Placement Error;
EPE Budget Breakdown;
EPE Improvement;
DRAM;
stochastics;
CDU;
Overlay;
control;
3.
Real-time full-wafer design-based inter-layer virtual metrology
机译:
基于实时全晶片设计的层间虚拟度量
作者:
Lianghong Yin
;
John Sturtevant
;
Alberto Lopez Gomez
;
Shumay Shang
;
Young Chang Kim
;
Kostas Adam
;
Marko Chew
;
Abhinandan Nath
;
Boris Habets
;
Manuela Gutsch
;
Philip Groeger
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Wafer disposition;
yield;
rework;
turn-around-time;
inter-layer checking;
hotspot;
computational lithography;
virtual metrology;
self-aligned via;
multiple patterning;
4.
Atom Probe Tomography using Extreme-Ultraviolet Light
机译:
使用极紫外光的原子探针层析成像
作者:
Luis Miaja-Avila
;
Ann N. Chiaramonti
;
Benjamin W. Caplins
;
David R. Diercks
;
Brian P. Gorman
;
Norman A. Sanford
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Atom probe microscopy;
extreme ultraviolet;
high-harmonic generation;
5.
VIA Dishing Metrology for Novel 3D NAND Using Neural Network Assisted White Light Interferometry
机译:
使用神经网络辅助白光干涉仪的新型3D NAND的VIA碟形计量
作者:
Sicong Wang
;
Xiaoye Ding
;
Yi Zhou
;
Yanzhong Ma
;
Le Yang
;
Chi Chen
;
Lu Chen
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
WLI;
Dishing;
Neural Network;
Metrology;
3D NAND;
Bonding;
Machine learning;
6.
High resolution acoustic metrology by combining high GHZ frequency ultrasound and scanning probe microscopy
机译:
结合高GHZ频率超声和扫描探针显微镜的高分辨率声学计量
作者:
Maarten H.van Es
;
Benoit A.J. Quesson
;
Abbas Mohtashami
;
Daniele Piras
;
Kodai Hatakeyama
;
Laurent Fillinger
;
Paul L.M.J. van Neer
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Scanning Probe Microscopy;
Acoustic Microscopy;
High Resolution;
Buried Structures;
7.
Inline Part Average Testing (I-PAT) for Automotive Die Reliability
机译:
在线零件平均测试(I-PAT),提高汽车模具的可靠性
作者:
John C. Robinson
;
Kara Sherman
;
David W. Price
;
Jay Rathert
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Inline Inspection;
Part Average Testing (PAT);
Screening;
Reliability;
I-PAT;
8.
Multi-beam Inspection (MBI) Development Progress and Applications
机译:
多光束检测(MBI)的开发进度和应用
作者:
Eric Ma
;
Weiming Ren
;
Xinan Luo
;
Shuo Zhao
;
Xuerang Hu
;
Xuedong Liu
;
Chiyan Kuan
;
Kevin Chou
;
Martijn Maassen
;
Weihua Yin
;
Aiden Chen
;
Niladri Sen
;
Martin Ebert
;
Lei Liu
;
Fei Wang
;
Oliver D. Patterson
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Multiple beam;
MBI;
EUV;
high throughput;
EBI;
ebeam inspection;
9.
Stochastic model prediction of pattern-failure
机译:
模式失效的随机模型预测
作者:
Sophie (Hyejin) Jin
;
John Sturtevant
;
Shumay Shang
;
Lianghong Yin
;
Kevin Ahi
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Stochastic;
model;
patterning-failure;
SRAF printing;
pattern-collapse;
10.
Novel post-lithography macro inspection strategies for advanced legacy fab challenges
机译:
新型光刻后宏观检测策略,应对先进的传统晶圆厂挑战
作者:
A. Bordogna
;
S. Seminato
;
A. Corno
;
A. Beccalli
;
L. Motta
;
G. Pistone
;
F. Ferrario
;
P. Piacentini
;
B. Micali
;
P. Sharma
;
L. Bouckou
;
P. Parisi
;
T. Groos
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
lithography;
yield improvement;
defect inspection;
defect reduction;
process control;
11.
3D-NAND Wafer Process Monitoring Using High Voltage SEM with Auto e-Beam Tilt Technology
机译:
使用自动电子束倾斜技术的高压SEM进行3D-NAND晶圆工艺监控
作者:
Leeming Tu
;
Jian Mi
;
Henry Fan
;
Haydn Zhou Felix Xiong
;
Luise Tu
;
Gangyi Chen
;
Chuanyu Shao
;
Long Zhang
;
Shinji Kubo
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
high voltage SEM;
HAR;
Auto e-beam tilt;
CD;
Bending;
Overlay;
12.
3D analysis of high-aspect ratio features in 3D-NAND
机译:
3D-NAND中高纵横比特征的3D分析
作者:
Jens Timo Neumann
;
Dmitry Klochkov
;
Thomas Korb
;
Sheetal Gupta
;
Amir Avishai
;
Ramani Pichumani
;
Keumsil Lee
;
Alex Buxbaum
;
Eugen Foca
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
3D tomography;
FIB-SEM;
3D-NAND;
high aspect ratio;
metrology;
defect detection;
volume reconstruction;
large data analysis;
13.
Accuracy improvement of 3D-profiling for HAR features using deep learning
机译:
使用深度学习提高用于HAR功能的3D轮廓的精度
作者:
Wei Sun
;
Pushe Zhao
;
Yasunori Goto
;
Takuma Yamamoto
;
Taku Ninomiya
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
3D-profiling;
deep learning;
high-voltage CD-SEM;
BSE;
high-aspect-ratio;
14.
Machine learning and hybrid metrology using HV-SEM and optical methods to monitor channel hole tilting in-line for 3D NAND wafer production
机译:
使用HV-SEM和光学方法进行机器学习和混合计量,以监控3D NAND晶圆生产中的通道孔倾斜
作者:
Michael Meng
;
Leeming Tu
;
Jian Mi
;
Haydn Zhou
;
Xi Zou
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Machine learning;
Tilt;
3D NAND;
HAR;
HV-SEM;
Optical metrology;
15.
Comparing Edge Detection Algorithms: their impact on unbiased roughness measurement precision and accuracy
机译:
比较边缘检测算法:它们对无偏粗糙度测量精度和准确性的影响
作者:
Chris A. Mack
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Power Spectral Density;
PSD;
line-edge roughness;
linewidth roughness;
LER;
LWR;
unbiased roughness;
CD-SEM;
noise floor;
16.
Comparison of SEM and AFM performances for LER reference metrology
机译:
LER参考计量的SEM和AFM性能比较
作者:
Ryosuke Kizu
;
Ichiko Misumi
;
Akiko Hirai
;
Satoshi Gonda
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
line edge roughness (LER). roughness parameters;
power spectral density (PSD);
height-height correlation function (HHCF);
autocorrelation function;
reference metrology;
scanning electron microscopy;
atomic force microscopy;
17.
White-light Mueller-matrix Fourier scatterometry for the characterization of nanostructures with large parameter spaces
机译:
白光穆勒矩阵傅里叶散射法用于表征具有大参数空间的纳米结构
作者:
M. L. Godecke
;
K. Frenner
;
W. Osten
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Optical inspection;
Fourier scatterometry;
Mueller-matrix polarimetry;
white-light interferometry;
grating structures;
model-based reconstruction;
semiconductor metrology;
sensitivity analysis;
18.
X-Ray Metrology of Nanowire/ Nanosheet FETs for Advanced Technology Nodes
机译:
用于先进技术节点的纳米线/纳米片FET的X射线计量
作者:
Madhulika Korde
;
R. Joseph Kline
;
Daniel F. Sunday
;
Nick Keller
;
Subhadeep Kal
;
Cheryl Alix
;
Aelan Mosden
;
Alain C. Diebold
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
X-Ray scattering;
CDSAXS;
Nanowire FETs;
Nanosheet FETs;
metrology;
SiGe etch characterization;
19.
Nanoscale grating characterization through EUV spectroscopy aided by machine learning techniques
机译:
借助机器学习技术通过EUV光谱表征纳米光栅
作者:
Lukas Bahrenberg
;
Sven Glabisch
;
Serhiy Danylyuk
;
Moein Ghafoori
;
Sophia Schroder
;
Sascha Brose
;
Jochen Stollenwerk
;
Peter Loosen
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
extreme ultraviolet;
critical dimension;
spectrometer;
scatterometry;
neural networks;
metrology;
process control;
finite elements method;
rigorous simulation;
20.
Understanding the influence of 3D sidewall roughness on observed line-edge roughness in scanning electron microscopy images
机译:
了解3D侧壁粗糙度对扫描电子显微镜图像中观察到的线边缘粗糙度的影响
作者:
L. van Kessel
;
T. Huisman
;
C.W. Hagen
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Scanning electron microscopy;
Line edge roughness;
Sidewall roughness;
Metrology;
Monte Carlo methods;
21.
Three-Dimensional Feature Characterization by Inline Xe Plasma FIB - Delayering and Deep Milling Implementation
机译:
在线Xe等离子体FIB的三维特征表征-延迟和深铣削实现
作者:
Franz Niedermeier
;
Agnes Fros
;
Max Boeckl
;
Wolfgang Kipferl
;
Michaela Braun
;
Albert Birner
;
Haim Pearl
;
Thomas Schubert
;
Allen Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Through Silicon Via;
Xe Plasma Focused Ion Beam;
Turnaround Time;
Front End of Line;
Back End of Line;
Etch Back;
3D Feature Characterization;
Chemical Mechanical Polishing;
CMP;
Critical Dimension;
Delayering;
22.
A Hybrid Total Measurement Uncertainty Methodology for Dual Beam FIB/SEM Metrology
机译:
双光束FIB / SEM计量的混合总测量不确定度方法
作者:
Ardavan Zandiatashbar
;
Chester Chien
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
TMU;
FIB;
dual beam;
metrology;
FMP;
23.
EUV scatterometer with multiple orders of high-harmonic generation
机译:
具有多个高次谐波产生量的EUV散射仪
作者:
Y. S. Ku
;
W. T. Wang
;
Y. C. Chen
;
M. C. Chang
;
C. L. Yeh
;
C. W. Lo
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Scatterometer;
Extreme Ultraviolet;
High-order Generation;
24.
Sensitivity analysis for the detection of pitchwalk in self-aligned quadruple patterning by GISAXS
机译:
GISAXS对自对准四重图案中的音高步距进行检测的灵敏度分析
作者:
Maren Casfor Zapata
;
Nando Farchmin
;
Mika Pflueger
;
Konstantin Nikolaev
;
Victor Soltwisch
;
Sebastian Heidenreich
;
Christian Laubis
;
Michael Kolbe
;
Frank Scholze
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
X-ray scattering;
FEM;
GISAXS;
polynomial chaos;
pitchwalk;
25.
Contact Etch process control application for advanced NAND memory structures
机译:
用于高级NAND存储器结构的Contact Etch过程控制应用程序
作者:
R. Kris
;
G. Klebanov
;
I. Friedler
;
E. Sommer
;
E. Frishman
;
S. Duvdevani Bar
;
J. Geva
;
V. Mirovoy
;
N. Teomim
;
D. Rathore
;
D. Rogers
;
J. Chess
;
B. Watson
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
3D-NAND;
CD Metrology;
SEM Metrology;
Contact Etch;
Classification;
26.
Taking the multi-wavelength DBO to the next level of accuracy and robustness
机译:
使多波长DBO达到更高的准确性和鲁棒性水平
作者:
Jinsun Kim
;
Jeongjin Lee
;
Chan Hwang
;
Seung Yoon Lee
;
Wooyoung Jung
;
Joonsoo Park
;
Kaustuve Bhattacharyya
;
Arie den Boef
;
Simon Mathijssen
;
Marc Noot
;
Farzad Farhadzadeh
;
Daniel Park
;
Kaustubh Padhye
;
Se-Ra Jeon
;
Seung-Bin Yang
;
Won-Jae Jang
;
Oh-Sung Kwon
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay;
on-product;
diffraction;
DBO;
scatterometer;
metrology;
accuracy;
robustness;
intra target;
asymmetry;
swing-curve.;
27.
Run to run and model variability of overlay high order process corrections for mean intratield signatures
机译:
运行并建模叠加高阶过程校正的平均可变产量内签名的可变性
作者:
Benjamin Duclaux
;
Maxime Gatefait
;
Olivier Mermet
;
Jean-Damien Chapon
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay;
Advanced Process Control;
High Ordermodeling;
Correctionper Exposure (CPE);
28.
Stitched overlay evaluation and improvement for large field applications
机译:
拼接叠加评估和大范围应用的改进
作者:
Michael May
;
Blandine Minghetti
;
Jerome Depre
;
Yoann Blancquaert
;
Pui Lam
;
Celine Lapeyre
;
Joungchel Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
pattern placement;
stitched field;
overlay model;
μDBO;
Multi-Layer targets (MLT);
Metrology;
YieldStar;
Scatterometry;
29.
The application of a Rapid Probe Microscope (RPM) for investigating 1D and 2D structures from EUV lithography
机译:
快速探针显微镜(RPM)在EUV光刻中研究一维和二维结构的应用
作者:
Andrew D.L. Humphris
;
Alain Moussa
;
Mircea Dusa
;
Anne-Laure Charley
;
Elis Newham
;
Jenny Goulden
;
Lei Feng
;
Christopher Bevis
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
probe microscopy;
AFM;
3D;
EUV;
30.
Process Context Based Wafer Level Grouping Control- An Advanced Overlay Process Correction designed for DRAM 1z nm node in High Volume Manufacturing
机译:
基于过程上下文的晶圆级分组控制-为大规模生产中的DRAM 1z nm节点设计的高级覆盖过程校正
作者:
Linmiao Zhang
;
William Susanto
;
Katsumasa Takahashi
;
Albert Chen
;
Tim Tang
;
Yi Zou
;
Chenxi Lin
;
Simon Hastings
;
Samee Ur Rehman
;
Manouk Rijpstra
;
Alfonso Sun
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay;
Advanced process correction;
Wafer level control;
31.
On Product Overlay Metrology Challenges in Advanced Nodes
机译:
先进节点中的产品叠加计量学挑战
作者:
Andrei Shchegrov
;
Philippe Leray
;
Yuri Paskovei
;
Liran Yerushalmi
;
Efi Megged
;
Yoav Grauer
;
Roel Gronheid
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
On Product Overlay (OPO);
Overlay (OVL);
DRAM;
Logic;
Foundry;
3D NAND;
Extreme UV (EUV);
Imaging-Based Overlay (IBO);
Scatterometry Based Overlay (SCOL);
Overlay metrology targets;
32.
EUV Photoresist Reference Metrology Using TEM Tomography
机译:
使用TEM层析成像的EUV光致抗蚀剂参考计量
作者:
Mark Biedrzycki
;
Umesh Adiga
;
Andrew Barnum
;
Alain Moussa
;
Jason Arjavac
;
Rose Marie Haynes
;
Anne-Laure Charley
;
Phillipe Leray
;
Dmitry Batuk
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
TEM;
EUV Photoresist;
Reference Metrology;
Tomography;
Automated Metrology;
Resist Encapsulation;
33.
Novel on-product Focus Metrology for EUV enabling direct focus monitoring and control for EUV systems
机译:
用于EUV的新型产品聚焦测量技术,可直接监视和控制EUV系统
作者:
Inbeom Yim
;
Koshiba Dakeshi
;
Chan Hwang
;
Seung Yoon Lee
;
Jeongjin Lee
;
Joonsoo Park
;
Jenny Yueh
;
Ali Ghavami
;
Bart Segers
;
Miguel Garcia Granda
;
Yutao Gui
;
Eric Janda
;
Frank Staals
;
Se-Hui Lee
;
Seung-Bin Yang
;
Yoon-Tae Lee
;
Se-Ra Jeon
;
Daniel Park
;
Ewoud van West
;
Elliott McNamara
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Focus;
EUV;
DBF;
accuracy;
precision;
multi wavelength;
monitoring;
control;
34.
Using e-Beam inspection and overlay as tool for identifying process weaknesses in semiconductor processing
机译:
使用电子束检查和叠加作为工具来识别半导体加工中的工艺缺陷
作者:
Kwame Owusu-Boahen
;
Suraj Patil
;
Arun Vijayakumar
;
Alex Pate
;
Carl Han
;
Jorg Schwitzgebel
;
Chulwoo Kim
;
David J. Moreau
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
overlay misalignment;
process window qualification;
process margin;
e-Beam;
defect detection;
35.
Mitigating gain, effort and cost for EOW Overlay Control
机译:
减轻EOW覆盖控制的收益,工作量和成本
作者:
Olivier Mermet
;
Maxime Gatefait
;
Didier Dabernat
;
Florent Dettoni
;
Benjamin Duclaux
;
Bertrand Le-Gratiet
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay;
Advanced Process control;
High Order modeling;
Correction per Exposure (CPE). Edge of Wafer;
Scanner Table;
Etch;
36.
Optical Imaging Metrology Calibration using High Voltage Scanning Electron Microscope at After-Development Inspection for Advanced Processes
机译:
在高级工艺的开发后检查中使用高压扫描电子显微镜对光学成像计量学进行校准
作者:
N. Gutman
;
I. Tarshish
;
R. Gronheid
;
C. Dror
;
D. Michelsson
;
H Backhauss
;
L. Levin
;
V. Levinski
;
Y. Paskover
;
L. Yerushalmi
;
T. Heidrich
;
S. Czerkas
;
U. Pohlmann
;
F Laske
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
On-Product Overlay (OPO);
Overlay (OVL);
Imaging-Based Overlay (IBO);
Accuracy;
High Voltage Scanning Electron Microscope (HV-SEM);
Advance Imaging Metrology (AIM®);
37.
High-order field distortion correction using standalone alignment technology with modeling and sampling optimization
机译:
使用独立对准技术进行建模和采样优化的高阶场失真校正
作者:
Takehisa Yahiro
;
Katsushi Makino
;
Haruki Saito
;
Steven Tottewitz
;
Boris Habets
;
Patrick Lomtscher
;
Jiro Hanaue
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Alignment;
Feed forward correction;
field distortion;
Process control;
sampling plan optimization;
38.
Optical Overlay Measurement Accuracy Improvement with Machine Learning
机译:
通过机器学习提高光学覆盖测量精度
作者:
Alexander Verner
;
Hyunsok Kim
;
Ikhyun Jeong
;
Seungwoo Koo
;
Dongjin Lee
;
Honggoo Lee
;
Boaz Ophir
;
Ohad Bachar
;
Liran Yerushalmi
;
Sanghuck Jeon
;
Dongsub Choi
;
Jeongpyo Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
On Product Overlay (OPO);
Overlay (OVL);
Imaging-Based Overlay (IBO);
Total Measurement Uncertainty (TMU);
Machine Learning (ML);
Accuracy;
ADI;
SEM;
DRAM;
39.
Statistical local CD uniformity with novel SEM noise reduction method
机译:
利用新型SEM降噪方法统计局部CD均匀性
作者:
Shinji Kobayashi
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Edge averaging method;
image noise;
unbiased LWR;
measurement length;
PSD;
ACF;
HHCF;
40.
On-product focus monitoring and control for immersion lithography in 3D-NAND manufacturing
机译:
3D-NAND制造中浸没式光刻的产品上焦点监控
作者:
Amine Lakcher
;
Ahmed Zayed
;
Jennifer Shumway
;
Jan-Pieter van Delft
;
Gratiela Isai
;
Ruxandra Mustata
;
Arno van den Brink
;
Taeddy Kim
;
Jay Jung
;
Yong-Sik Shin
;
Soo-Kyung Lee
;
Paul Boecker
;
Mohamed El Kodadi
;
Geert Vinken
;
ChanHa Park
;
Sangjun Han
;
Jeongsu Park
;
Beomki Shin
;
Gunwoong Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
41.
A Novel Accurate and Robust Technique in After-Etch Overlay Metrology of 3D-NAND's Memory Holes
机译:
3D-NAND存储器孔蚀刻后覆盖计量的一种新颖而精确的技术
作者:
Yaobin Feng
;
Dean Wu
;
Pandeng Xuan
;
Pavel Izikson
;
Payne Qi
;
Huanian You
;
Yvon Chai
;
Jan Jitse Venselaar
;
Giulio Bottegal
;
Gonzalo Sanguinetti
;
Bert Verstraeten
;
Tjitte Nooitgedagt
;
Babak Mozooni
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
3D-NAND;
Metrology;
Overlay;
Robustness;
After-etch;
Intra-die stress;
In-Device Metrology;
DUV scanner;
Lithography;
42.
Realizing more accurate OPC models by utilizing SEM contours
机译:
利用SEM轮廓实现更准确的OPC模型
作者:
Chih-I Wei
;
Rajiv Sejpal
;
Yunfei Deng
;
Ir Kusnadi
;
Germain Fenger
;
Masahiro Oya
;
Yosuke Okamoto
;
Kotaro Maruyama
;
Yuichiro Yamazaki
;
Sayantan Das
;
Sandip Haider
;
Werner Gillijns
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
EUV Lithography;
SEM Contour;
Contour-based OPC Modeling;
5nm;
Machine Learning;
43.
EB metrology of Ge channel gate-all-around FET: Buckling evaluation and EB damage assessment
机译:
Ge通道全能FET的EB测量:屈曲评估和EB损坏评估
作者:
Takeyoshi Ohashi
;
Kazuhisa Hasumi
;
Masami Ikota
;
Gian Lorusso
;
Liesbeth Witters
;
Naoto Horiguchi
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
CD-SEM;
EB metrology;
Ge channel;
gate-all-around;
nanowire;
EB damage;
buckling;
44.
Automated semiconductor wafer defect classification dealing with imbalanced data
机译:
自动化半导体晶圆缺陷分类处理不平衡数据
作者:
Po-Hsuan Lee
;
Zhe Wang
;
Cho Teh
;
Yi-Sing Hsiao
;
Wei Fang
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Automatic Defect Classification;
semiconductor wafer inspection;
SMOTE;
machine learning;
image classification;
imbalanced dataset.;
45.
SEM Image Quality Enhancement: An Unsupervised Deep Learning Approach
机译:
SEM图像质量增强:一种无监督的深度学习方法
作者:
Liangjiang Yu
;
Wentian Zhou
;
Lingling Pu
;
Wei Fang
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
SEM;
E-beam;
Wafer Inspection;
Unsupervised;
CNN;
IQE;
Throughput;
46.
Massive metrology for process development and monitoring applications
机译:
用于过程开发和监控应用的大规模计量
作者:
Kaushik Sah
;
Sayantan Das
;
Shifang Li
;
Christophe Beral
;
Andrew Cross
;
Sandip Halder
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
High Sampling Metrology;
High Spatial Resolution;
Process Control;
Process Variation;
Metrospection;
47.
Enabling Accurate and Robust Optical Metrology of In Device Overlay
机译:
实现器件内覆盖的准确和鲁棒的光学计量
作者:
Min-Seok Kang
;
Chan Hwang
;
Seungyoon Lee
;
Jeongjin Lee
;
Joon-Soo Park
;
Christian Leewis
;
Eun-Ji Yang
;
Do-Haeng Lee
;
James Lee
;
Sabil Huda
;
Noh-Kyoung Park
;
Anagnostis Tsiatmas
;
Giulio Bottegal
;
Amy Wang
;
Filippo Belletti
;
Jan Jitse Venselaai
;
Giacomo Miceli
;
Izabela Saj
;
Sam Chen
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Machine Learning;
Overlay;
Optical Metrology;
robustness;
DRAM;
after etch;
in device measurements;
self-reference;
48.
Contour extraction algorithm for edge placement error measurement using machine learning
机译:
利用机器学习进行轮廓线边缘误差测量的轮廓提取算法
作者:
Yosuke Okamoto
;
Shinichi Nakazawa
;
Akinori Kawamura
;
Tsugihiko Haga
;
Taihei Mori
;
Kotaro Maruyama
;
Seul-Ki Kang
;
Yuichiro Yamazaki
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
D2DB;
Contour Extraction;
Machine Learning;
Edge Placement Error;
49.
Color filter and numeric aperture selections for image based overlay measurement in critical recording head manufacturing process
机译:
彩色滤光片和数字孔径选择,用于关键记录头制造过程中基于图像的覆盖测量
作者:
Gavin Mathias
;
Yi Liu
;
Richard Schuster
;
Aaron Bowser
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay (OVL);
BiB;
AIM;
metrology;
Image-Based Overlay (IBO);
Total Measurement Uncertainty (TMU);
Qmerit;
Tool Introduced Shift (TIS);
through focus contrast precision;
50.
Machine Learning for Tool Induced Shift (TIS) Reduction
机译:
机器学习以减少工具引起的换档(TIS)
作者:
Shlomit Katz
;
Boaz Ophir
;
Udi Shusterman
;
Anna Golotsvan
;
Liran Yerushalmi
;
Efi Megged
;
Yoav Grauer
;
Jian Zhang
;
Alimei Shih
;
Shi-Ming Wei
;
Judith Yap
;
Fiona (Shuk Fan) Leung
;
Pek Beng Ong
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Photolithography Overlay (OVL);
Imaging-Based Overlay (IBO);
Tool Induced Shift (TIS);
Total Measurement Uncertainty (TMU);
Modeled-TIS (M-TIS);
Machine Learning (ML);
Data Analytics;
Predictive Metrology;
3D NAND;
DRAM;
Foundry;
On-Product Overlay (OPO);
51.
OPO Residuals Reduction with Imaging Metrology Color Per Layer Mode
机译:
通过每层成像计量颜色减少OPO残差
作者:
Shlomit Katz
;
Honggoo Lee
;
Dongyoung Lee
;
Jinsoo Kim
;
Jaesun Woo
;
Chunsoo Kang
;
Chanha Park
;
Dohwa Lee
;
Seongjae Lee
;
Sanghuck Jeon
;
Dongsub Choi
;
Anna Golotsvan
;
Roie Volkovich
;
Efi Megged
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay (OVL);
Metrology;
Inspection;
Photolithography;
Foundry;
DRAM;
3D NAND;
Color Per Layer (CPL);
Dual-Bandwidth Filters (DBW);
52.
A Trainable Die-To-Database for Fast e-Beam Inspection: Learning Normal Images to Detect Defects
机译:
用于快速电子束检查的可训练模具到数据库:学习普通图像以检测缺陷
作者:
Masanori Ouchi
;
Masayoshi Ishikawa
;
Shinichi Shinoda
;
Yasutaka Toyoda
;
Ryo Yumiba
;
Hiroyuki Shindo
;
Masayuki Izawa
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Inspection;
Defect detection;
Image processing;
Machine learning;
Lithography;
Scanning electron microscope;
53.
A Strengthen Mask r-CNN Method for PFA Image Measurement
机译:
用于PFA图像测量的增强掩模r-CNN方法
作者:
Tung-Yu Wu
;
Chun Yen Liao
;
Chun-Hung Lin
;
Kao-Tsai Tsai
;
Jun-Sheng Wu
;
Chao-Yi Huang
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
54.
A novel high throughput probe microscope: for measuring 3D structures, designed for In-line, integrated or standalone operation
机译:
新型高通量探针显微镜:用于在线,集成或独立操作的3D结构测量
作者:
A. D. L. Humphris
;
L. Feng
;
M. Tedaldi
;
L. Mudarikwa
;
D. Ockwell
;
J. Goulden
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Probe microscope;
AFM;
wafer;
mask;
55.
OPO RESIDUALS IMPROVEMENT WITH IMAGING METROLOGY FOR 3D NAND
机译:
3D NAND成像技术的OPO残差改进
作者:
Shlomit Katz
;
Anna Golotsvan
;
Yoav Grauer
;
Efi Megged
;
Greg Gray
;
Fiona (Shuk Fan)Leung
;
Pek Beng Ong
;
Lei Shi
;
Jeremy (Shi-Ming) Wei
;
Wayne (Wei) Zhou
;
Linfei Gao
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
3D NAND;
Overlay (OVL);
Wave Tuning (WT);
Imaging Based Overlay (IBO);
Accuracy;
Process Variation (PV);
Total Measurement Uncertainty (TMU);
Tool-To-Tool Matching (TTTM);
Region of Interest (ROI);
56.
Photosensitive organic insulator photo-cell monitoring through advanced macro inspection
机译:
通过先进的宏观检测对光敏有机绝缘子光电池进行监控
作者:
A.Corno
;
A.Bordogna
;
M.Braga
;
A.Pescalli
;
F.Ferrario
;
U.Iessi
;
P.Canestrari
;
P.Sharma
;
M.Salamone
;
P.Parisi
;
T. Groos
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Photosensitive Organic Insulators (POIs);
Macro inspection;
Process Control;
Yield improvement;
Photo Cell Monitoring (PCM);
57.
Enhancing the applications space of diffraction based overlay metrology by increasing throughput and target pitch flexibility
机译:
通过增加吞吐量和目标间距灵活性,扩大基于衍射的叠加计量学的应用空间
作者:
Simon Mathijssen
;
Herman Heijmerikx
;
Farzad Farhadzadeh
;
Marc Noot
;
Lineke van der Sneppen
;
Longfei Shen
;
Fei Jia
;
Jolly Xu
;
Huajun Qin
;
Arie den Boef
;
Elliott Me Namara
;
Kaustuve Bhattacharyya
;
Chao Fang
;
Yaobin Feng
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
58.
Wavelength influence on the determination of subwavelength grating parameters by using optical scatterometry
机译:
波长对光散射法测定亚波长光栅参数的影响
作者:
Lauryna Siaudinyte
;
Silvania F. Pereira
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Coherent Fourier scatterometry;
optical scatterometry;
coherent light;
far field;
near field;
subwavelength grating;
grating parameters;
sensitivity;
59.
Nano-scale molecular analysis of positive tone photo-resist films with varying dose
机译:
剂量变化的正型光刻胶膜的纳米尺度分子分析
作者:
Michael J. Eller
;
Mingqi Li
;
Xisen Hou
;
Stanislav V. Verkhoturov
;
Emile A. Schweikert
;
Peter Trefonas
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Mass spectrometry;
exposure dose;
cluster SIMS;
chemically amplified resist;
development;
photoacid generator;
molecular characterization;
nanoscale analysis;
60.
Improved Device Overlay by Lit ho Aberration Tracking with Novel Target Design for DRAM
机译:
通过小像差跟踪和新颖的DRAM目标设计改善了设备覆盖
作者:
Xiaolei Liu
;
Eitan Hajaj
;
Alon Volfman
;
Hedvi Spielberg
;
Yoav Grauer
;
Raviv Yohanan
;
Xindong Gao
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Overlay (OVL);
Image-Based Overlay (IBO);
AIM®;
Diagonal AIM (DAIM™);
On Product Overlay (OPO);
Accuracy;
Non-Zero Offset (NZO);
Pattern Placement Error (PPE);
61.
OPO Reduction by Novel Target Design
机译:
通过新颖的目标设计减少OPO
作者:
Zephyr Liu
;
Eitan Hajaj
;
Ira Naot
;
Raviv Yohanan
;
Yoav Grauer
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
On Product Overlay (OPO);
Overlay (OVL);
rAIM™;
AIM®;
Total Measurement Uncertainty (TMU);
Accuracy;
DRAM;
Foundry Logic;
3D NAND;
62.
High speed roughness measurement on blank silicon wafers using wave front phase imaging
机译:
使用波前相位成像技术对空白硅晶片进行高速粗糙度测量
作者:
J.M. Trujillo-Sevilla
;
J.M. Ramos-Rodriguez
;
J. Gaudestad
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
63.
Improving after-etch overlay performance using high-density in- device metrology in DRAM manufacturing
机译:
在DRAM制造中使用高密度的器件计量技术来提高蚀刻后覆盖性能
作者:
Ik-Hyun Jeong
;
Seung-Woo Koo
;
Hyun-Sok Kim
;
Jung-Il Hwang
;
Dong-Jin Lee
;
Min- Shik Kim
;
Jae-Wuk Ju
;
Kang-Min Lee
;
Young-Sik Kim
;
Cees Lambregts
;
Rizvi Rahman
;
Marc Hauptmann
;
Raheleh Pishkari
;
Allwyn Boustheen
;
Kwang-Young Hu
;
Paul Boecker
;
Dong-Hak Lee
;
In-Ho Joo
;
Kang-San Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
64.
The improvement of measurement accuracy of SADP pitch walking issue
机译:
SADP俯仰步行问题测量精度的提高
作者:
Pei Liu
;
Cheng-Zhang Wu
;
Hung-Wen Chao
;
Wenzhan Zhou
;
Yu Zhang
;
Chan-Yuan Hu
;
Yujie Xu
;
Jhen-Cyuan Li
;
Yen-Chan Chiu
;
Chun-Han Liu
;
Ran-Fu Yang
;
Chi-Hung Wang
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
FinFET;
SADP;
Pitch Walking;
CD;
CD-SEM;
TEM;
Calibration;
65.
Metrology of 3D-NAND Structures using Machine Learning Assisted Fast Marching Level-Sets Algorithm
机译:
使用机器学习辅助快速行进水平集算法的3D-NAND结构计量
作者:
Umesh Adiga
;
Derek Higgins
;
Sang Hoon Lee
;
Mark Biedrzycki
;
Dan Nelson
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
Memory;
3D-NAND;
Fast-marching;
Level-sets;
66.
High speed, high accuracy displacement extraction from sinusoidal like Moire fringes in a novel optical encoding technology
机译:
利用新颖的光学编码技术从正弦形像莫尔条纹的高速,高精度位移提取
作者:
Zhijian Zhong
;
Chenyi Li
;
Shiguang Li
;
Penghao Li
;
Qingcheng Ma
;
Haoyuan Zhao
;
Jing Zhang
;
Yayi Wei
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2020年
关键词:
displacement measurement;
Moire fringe;
optical encoder;
digital grating;
phase extraction;
algorithm;
意见反馈
回到顶部
回到首页