掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Electron Devices Meeting, 2002. IEDM '02. Digest. International
Electron Devices Meeting, 2002. IEDM '02. Digest. International
召开年:
2002
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
10-MB/s multi-level programming of Gb-scale flash memory enabled by new AG-AND cell technology
机译:
新型AG-AND单元技术可实现Gb级闪存的10 MB / s多层编程
作者:
Sasago Y.
;
Arigane T.
;
Kurata H.
;
Saeki S.
;
Goto Y.
;
Kamohara S.
;
Kobayashi T.
;
Kume H.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
flash memories;
PLD programming;
Gb-scale flash memory;
assist-gate AND cell technology;
10-MB/s multi-level programming;
multi-level flash memory;
programming throughput;
individual cell programming speed;
cell programming speed distribution reducin;
2.
15-nm-thick Si channel wall vertical double-gate MOSFET
机译:
15nm厚的Si沟道壁垂直双栅MOSFET
作者:
Masahara M.
;
Matsukawa T.
;
Ishii K.
;
Yongxun Liu
;
Tanoue H.
;
Sakamoto K.
;
Sekigawa T.
;
Yamauchi H.
;
Kanemaru S.
;
Suzuki E.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
etching;
ion beam effects;
silicon;
elemental semiconductors;
Si channel wall;
vertical double-gate MOSFET;
CMOS device;
fabrication technology;
IMOSFET;
ion-bombardment-retarded etching;
TMAH solution;
15 nm;
Si;
3.
A VLSI compatible conducting polymer composite based 'electronic nose' chip
机译:
基于VLSI的导电聚合物复合材料“电子鼻”芯片
作者:
Lewis N.S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
VLSI;
conducting polymers;
gas sensors;
military equipment;
VLSI;
conducting polymer composite;
electronic nose chip;
vapor detection technology;
signal preprocessing;
signal processing;
data analysis functions;
chemical sensing;
remote delivery meth;
4.
An organic optical bistable switch
机译:
有机光双稳态开关
作者:
Jiangeng Xue
;
Forrest S.R.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
integrated optics;
photodetectors;
organic light emitting diodes;
optical bistability;
optical logic;
organic semiconductors;
organic optical bistable switch;
organic photodetector;
transparent electrophosphorescent OLED;
external field-effect transi;
5.
Biomolecular optoelectronic devices and their application to artificial sight
机译:
生物分子光电器件及其在人工视觉中的应用
作者:
Greenbaum E.
;
Humayun M.S.
;
Kuritz T.
;
Lee J.W.
;
Sanders C.A.
;
Bruce B.
;
Lee I.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
photosynthesis;
vision defects;
diseases;
biomolecular electronics;
photovoltaic cells;
bioelectric potentials;
biomembrane transport;
eye;
handicapped aids;
prosthetics;
neurophysiology;
biological techniques;
Kelvin force microscopy;
photovoltages;
6.
Linearity and gain characteristics of AlGaN/GaN HEMTs
机译:
AlGaN / GaN HEMT的线性和增益特性
作者:
Wu Y.-F.
;
Chavarkar P.M.
;
Moore M.
;
Parikh P.
;
Mishra U.K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
effective mass;
intermodulation;
high electron mobility transistors;
wide band gap semiconductors;
III-V semiconductors;
aluminium compounds;
gallium compounds;
linearity;
gain characteristics;
AlGaN/GaN HEMT;
cut-off frequency;
power-added efficienc;
7.
Microfabricated near-field scanning microwave probes
机译:
微型近场扫描微波探头
作者:
Yaqiang Wang
;
Tabib-Azar M.
会议名称:
《》
|
2002年
关键词:
probes;
microwave imaging;
nondestructive testing;
microwave measurement;
micromechanical devices;
atomic force microscopy;
near-field scanning microwave probes;
microfabrication;
co-axial microwave tips;
atomic force microscope;
AFM images;
transmis;
8.
Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D
机译:
超薄热混合应变硅/绝缘体上的SiGe(TM-SGOI)衬底上具有提高的S / D的70 nm以下应变硅SOI MOSFET的性能增强
作者:
Lee B.H.
;
Mocuta A.
;
Bedell S.
;
Chen H.
;
Sadana D.
;
Rim K.
;
ONeil P.
;
Mo R.
;
Chan K.
;
Cabral C.
;
Lavoie C.
;
Mocuta D.
;
Chakravarti A.
;
Mitchell R.M.
;
Mezzapelle J.
;
Jamin F.
;
Sendelbach M.
;
Kermel H.
;
Gribelyuk M.
;
Domenicucci A.
;
Jenkins K.A.
;
Narasimha S.
;
Ku S.H.
;
Ieong M.
;
Yang I.Y.
;
Leobandung E.
;
Agnello P.
;
Haensch W.
;
Welser J.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon-on-insulator;
MOSFET;
Ge-Si alloys;
electron mobility;
strained silicon SOI MOSFET;
ultra-thin thermally mixed strained silicon/SiGe-on-insulator substrate;
raised source/drain;
ultra-thin TM-SGOI substrate;
electron mobility;
long-channel nF;
9.
Chip technologies for Entertainment Robots - present and future
机译:
娱乐机器人的芯片技术-现在和将来
作者:
Makimoto T.
;
Doi T.T.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
mobile robots;
integrated circuit technology;
consumer electronics;
digital integrated circuits;
chip technologies;
Entertainment Robots;
processor performance;
sensing capability;
actuator elements;
AIBO;
biped humanoid robots;
Sony SDR-4X;
technolo;
10.
Lithography for sub-90nm applications
机译:
适用于90nm以下应用的光刻
作者:
Van den Hove L.
;
Goethals A.M.
;
Ronse K.
;
Van Bavel M.
;
Vandenberghe G.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS integrated circuits;
ultraviolet lithography;
ULSI;
scaling;
CMOS;
extreme ultra-violet lithography;
technology nodes;
ULSI;
157 nm;
193 nm;
11.
A 90 nm generation copper dual damascene technology with ALD TaN barrier
机译:
具有ALD TaN势垒的90 nm一代铜双镶嵌技术
作者:
Peng C.H.
;
Hsieh C.H.
;
Huang C.L.
;
Lin J.C.
;
Tsai M.H.
;
Lin M.W.
;
Chang C.L.
;
Shue W.S.
;
Liang M.S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
integrated circuit metallisation;
chemical vapour deposition;
tantalum compounds;
copper;
dielectric thin films;
integrated circuit reliability;
dual damascene technology;
ALD;
conformal step coverage;
thickness reduction;
atomic layer deposition;
Ta;
12.
A 90-nm CMOS device technology with high-speed, general-purpose, and low-leakage transistors for system on chip applications
机译:
具有高速,通用和低泄漏晶体管的90nm CMOS器件技术,用于片上系统应用
作者:
Wu C.C.
;
Leung Y.K.
;
Chang C.S.
;
Tsai M.H.
;
Huang H.T.
;
Lin D.W.
;
Sheu Y.M.
;
Hsieh C.H.
;
Liang W.J.
;
Han L.K.
;
Chen W.M.
;
Chang S.Z.
;
Wu S.Y.
;
Lin S.S.
;
Lin H.C.
;
Wang C.H.
;
Wang P.W.
;
Lee T.L.
;
Fu C.Y.
;
Chang C.W.
;
Chen S.C.
;
Jang S.M.
;
Shue S.L.
;
Lin H.T.
;
See Y.C.
;
Mii Y.J.
;
Diaz C.H.
;
Lin B.J.
;
Liang M.S.
;
Sun Y.C.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS digital integrated circuits;
leakage currents;
system-on-chip;
low-power electronics;
high-speed integrated circuits;
integrated circuit technology;
integrated circuit design;
VLSI;
CMOS device technology;
low-leakage transistors;
system on chip;
13.
A broad-band scalable lumped-element inductor model using analytic expressions to incorporate skin effect, substrate loss, and proximity effect
机译:
一种宽带可扩展的集总元件电感器模型,该模型使用解析表达式合并趋肤效应,基片损耗和邻近效应
作者:
Rotella F.M.
;
Blaschke V.
;
Howard D.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
inductors;
skin effect;
broadband scalable lumped-element model;
skin effect;
substrate loss;
proximity effect;
spiral inductor;
14.
A capacitorless double-gate DRAM cell design for high density applications
机译:
适用于高密度应用的无电容器双栅极DRAM单元设计
作者:
Kuo C.
;
Tsu-Jae King
;
Chenming Hu
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DRAM chips;
CMOS memory circuits;
MOSFET;
silicon-on-insulator;
radiation hardening (electronics);
integrated circuit design;
capacitorless double-gate DRAM cell design;
high density applications;
2-D device simulation;
capacitorless asymmetric doubl;
15.
A CMOS magnetic latch with extremely high resolution
机译:
具有极高分辨率的CMOS磁性锁存器
作者:
Li Z.Q.
;
Sun X.W.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
magnetic sensors;
magnetic field measurement;
pattern recognition;
CMOS magnetic latch;
magnetic resolution;
split-drain magnetic field-effect transistor;
magnetic flux detection;
magnetic field sensor;
magnetic pattern recognition;
positive;
16.
A DNA prism: physical principles for optimizing a microfabricated DNA separation device
机译:
DNA棱镜:优化微细DNA分离装置的物理原理
作者:
Huang L.R.
;
Tegenfeldt J.O.
;
Sturm J.C.
;
Austin R.H.
;
Cox E.C.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DNA;
biological techniques;
molecular weight;
molecular biophysics;
genetics;
separation;
microfluidics;
physical principles;
microfabricated DNA separation device;
large DNA molecules;
fully stretched DNA molecules;
Hooke law;
second model;
biphasic;
17.
A feature scale model for trench capacitor etch rate and profile
机译:
沟槽电容器蚀刻速率和轮廓的特征尺度模型
作者:
Jacobs W.
;
Kersch A.
;
Moll P.
;
Sabisch W.
;
Icking-Konert G.S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
etching;
semiconductor process modelling;
DRAM chips;
Monte Carlo methods;
capacitors;
feature scale model;
trench capacitor;
etch rate;
DRAM;
integral equation;
trench profiles;
high level model;
process parameters;
level set front propagation;
Mont;
18.
A flexible, low-cost, high performance SiGe:C BiCMOS process with a one-mask HBT module
机译:
具有单掩模HBT模块的灵活,低成本,高性能SiGe:C BiCMOS工艺
作者:
Knoll D.
;
Ehwald K.E.
;
Heinemann B.
;
Fox A.
;
Blum K.
;
Rucker H.
;
Furnhammer F.
;
Senapati B.
;
Barth R.
;
Haak U.
;
Hoppner W.
;
Drews J.
;
Kurps R.
;
Marschmeyer S.
;
Richter H.H.
;
Grabolla T.
;
Kuck B.
;
Fursenko O.
;
Schley P.
;
Scholz R.
;
Tillack B.
;
Yamamoto Y.
;
Kopke K.
;
Wulf H.E.
;
Wolansky D.
;
Winkler W.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
heterojunction bipolar transistors;
Ge-Si alloys;
semiconductor materials;
carbon;
masks;
semiconductor device breakdown;
BiCMOS integrated circuits;
integrated circuit reliability;
SiGe:C;
one-mask HBT module;
high volume applications;
mask;
speed/b;
19.
A functional FinFET-DGCMOS SRAM cell
机译:
功能性FinFET-DGCMOS SRAM单元
作者:
Nowak E.J.
;
Rainey B.A.
;
Fried D.M.
;
Kedzierski J.
;
Ieong M.
;
Leipold W.
;
Wright J.
;
Breitwisch M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
SRAM chips;
CMOS memory circuits;
integrated circuit layout;
integrated circuit interconnections;
circuit stability;
functional FinFET-DGCMOS SRAM cell;
six-transistor SRAM cell;
double gate CMOS FinFET technology;
cell size;
180 nm node technology;
20.
A highly manufacturable high density embedded SRAM technology for 90 nm CMOS
机译:
面向90 nm CMOS的高度可制造的高密度嵌入式SRAM技术
作者:
Fukaura Y.
;
Kasai K.
;
Okayama Y.
;
Kawasaki I.
;
Isobe K.
;
Kanda M.
;
Ishimaru K.
;
Ishiuchi H.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
SRAM chips;
CMOS memory circuits;
integrated circuit layout;
circuit optimisation;
embedded systems;
electric fuses;
highly manufacturable high density embedded SRAM technology;
90 nm CMOS;
cell layout;
lithography simulation;
key process optimizatio;
21.
A new model of time evolution of gate leakage current after soft breakdown in ultra-thin gate oxides
机译:
超薄栅氧化物软击穿后栅漏电流时间演化的新模型
作者:
Hosoi T.
;
Lo Re P.
;
Kamakura Y.
;
Taniguchi K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
semiconductor device models;
insulating thin films;
electric breakdown;
leakage currents;
MOS capacitors;
semiconductor device reliability;
ultra-thin gate oxides;
gate leakage current;
time evolution;
soft breakdown;
modeling;
computer simul;
22.
A new multi-channel dual-gate poly-Si TFT employing excimer laser annealing recrystallization on pre-patterned a-Si thin film
机译:
在预图案化的a-Si薄膜上利用准分子激光退火再结晶的新型多通道双栅多晶硅TFT
作者:
Song I.H.
;
Kim C.H.
;
Kang S.H.
;
Nam W.J.
;
Han A.K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon;
thin film transistors;
laser beam annealing;
recrystallisation annealing;
elemental semiconductors;
carrier mobility;
grain growth;
grain boundaries;
multi-channel dual-gate poly-Si TFT;
excimer laser annealing recrystallization;
pre-pattern;
23.
A novel nickel SALICIDE process technology for CMOS devices with sub-40 nm physical gate length
机译:
用于物理栅极长度小于40 nm的CMOS器件的新型镍SALICIDE处理技术
作者:
Lu J.P.
;
Miles D.
;
Zhao J.
;
Gurba A.
;
Xu Y.
;
Lin C.
;
Hewson M.
;
Ruan J.
;
Tsung L.
;
Kuan R.
;
Grider T.
;
Mercer D.
;
Montgomery C.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
integrated circuit metallisation;
nickel compounds;
semiconductor device breakdown;
leakage currents;
CMOS integrated circuits;
MOSFET;
SALICIDE process technology;
CMOS;
physical gate length;
excess silicidation problem;
NiSi;
edge effect;
low-tempe;
24.
A novel silicon Geiger-mode avalanche photodiode
机译:
新型硅盖革模式雪崩光电二极管
作者:
Jackson J.C.
;
Morrison A.P.
;
Phelan D.
;
Mathewson A.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon;
elemental semiconductors;
avalanche photodiodes;
photodetectors;
Geiger-mode APD;
Si avalanche photodiodes;
dark count nonlinearity;
dark count optimization;
single photon counting;
Si;
25.
A novel stack capacitor cell for high density FeRAM compatible with CMOS logic
机译:
新型堆叠电容器单元,用于兼容CMOS逻辑的高密度FeRAM
作者:
Hayashi T.
;
Igarashi Y.
;
Inomata D.
;
Ichimori T.
;
Mitsuhashi T.
;
Ashikaga K.
;
Ito T.
;
Yoshimaru M.
;
Nagata M.
;
Mitarai S.
;
Godaiin H.
;
Nagahama T.
;
Isobe C.
;
Moriya H.
;
Shoji M.
;
Ito Y.
;
Kuroda H.
;
Sasaki M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
ferroelectric storage;
ferroelectric capacitors;
CMOS logic circuits;
low-power electronics;
diffusion barriers;
rapid thermal processing;
stack capacitor cell;
high density FeRAM;
CMOS logic compatibility;
1T1C FeRAM device technology;
0.25 /spl mu/;
26.
A predictive reliability model for PMOS bias temperature degradation
机译:
PMOS偏置温度降低的预测可靠性模型
作者:
Mahapatra S.
;
Alam M.A.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
semiconductor device models;
semiconductor device reliability;
predictive reliability model;
PMOS bias temperature degradation;
p-MOSFETs;
stress bias range;
temperature range;
scaling methodology;
analytical model;
reliability projection;
27.
A self-assembly conductive device for direct DNA identification in integrated microarray based system
机译:
基于集成微阵列的系统中直接DNA识别的自组装导电装置
作者:
Mei Xue
;
Jiong Li
;
Wen Xu
;
Zuhong Lu
;
Wang K.L.
;
Ko P.K.
;
Mansun Chan
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DNA;
biosensors;
microsensors;
biomolecular electronics;
arrays;
self-assembly;
self-assembly conductive device;
direct DNA identification;
integrated microarray based system;
array based microelectronic biochip;
fabrication steps;
targeted samples;
28.
A study of subband structure and transport of two-dimensional holes in strained-Si p-MOSFETs using full-band modeling
机译:
使用全频带建模研究应变Si p-MOSFET中二维空穴的子带结构和传输
作者:
Nakatsuji H.
;
Kamakura Y.
;
Taniguchi K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
semiconductor device models;
two-dimensional hole gas;
quantum interference phenomena;
effective mass;
inversion layers;
hole mobility;
Monte Carlo methods;
pseudopotential methods;
spin-orbit interactions;
band structure;
strained-Si p-MOSFE;
29.
A three-transistor threshold voltage model for halo processes
机译:
用于晕轮过程的三晶体管阈值电压模型
作者:
Rios R.
;
Wei-Kai Shih
;
Shah A.
;
Mudanai S.
;
Packan P.
;
Sandford T.
;
Mistry K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
semiconductor device models;
electric potential;
doping profiles;
three-transistor threshold voltage model;
halo processes;
closed-form expression;
MOSFET threshold voltage;
length dependent behavior;
drain bias conditions;
body bias conditio;
30.
Assessment of silicon MOS and carbon nanotube FET performance limits using a general theory of ballistic transistors
机译:
使用弹道晶体管的一般理论评估硅MOS和碳纳米管FET的性能极限
作者:
Jing Guo
;
Datta S.
;
Lundstrom M.
;
Brink M.
;
McEuen P.
;
Javey A.
;
Hongjie Dai
;
Hyoungsub Kim
;
McIntyre P.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
carbon nanotubes;
MOSFET;
silicon;
semiconductor device models;
ballistic transport;
nanoelectronics;
field effect transistors;
carbon nanotube FET;
Si MOSFETs;
FET performance limits;
ballistic transistor theory;
model;
ballistic nanotransistors;
ch;
31.
Compact modelling of cyclostationary noise in semiconductor devices: a critical discussion
机译:
半导体器件中循环平稳噪声的紧凑模型:关键讨论
作者:
Bonani F.
;
Donati Guerrieri S.
;
Ghione G.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
semiconductor device noise;
semiconductor device models;
modulation;
random noise;
semiconductor devices;
cyclostationary noise;
compact modelling;
small-signal stationary noise models;
modulation approaches;
physics-based noise simulations;
device-d;
32.
Demonstration of a 4 Mb, high density ferroelectric memory embedded within a 130 nm, 5 LM Cu/FSG logic process
机译:
演示在130 nm,5 LM Cu / FSG逻辑工艺中嵌入的4 Mb高密度铁电存储器
作者:
Moise T.S.
;
Summerfelt S.R.
;
McAdams H.
;
Aggarwal S.
;
Udayakumar K.R.
;
Celii F.G.
;
Martin J.S.
;
Xing G.
;
Hall L.
;
Taylor K.J.
;
Hurd T.
;
Rodriguez J.
;
Remack K.
;
Khan M.D.
;
Boku K.
;
Stacey G.
;
Yao M.
;
Albrecht M.G.
;
Zielinski E.
;
Thakre M.
;
Kuchimanchi S.
;
Thomas A.
;
McKee B.
;
Rickes J.
;
Wang A.
;
Grace J.
;
Fong J.
;
Lee D.
;
Pietrzyk C.
;
Lanham R.
;
Gilbert S.R.
;
Taylor D.
;
Amano J.
;
Bailey R.
;
Chu F.
;
Fox G.
;
Sun S.
;
Davenport T.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
ferroelectric storage;
CMOS memory circuits;
SRAM chips;
embedded systems;
integrated circuit interconnections;
random-access storage;
high density ferroelectric memory;
130 nm five-level Cu/FSG logic process;
bit functionality;
low-voltage embedded;
33.
Dielectric breakdown induced epitaxy in ultrathin gate oxide - a reliability concern
机译:
介电击穿引起的超薄栅氧化物外延-可靠性问题
作者:
Pey K.L.
;
Tung C.H.
;
Radhakrishnan M.K.
;
Tang L.J.
;
Lin W.H.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
semiconductor device breakdown;
insulating thin films;
transmission electron microscopy;
failure analysis;
MOSFET;
semiconductor device reliability;
ultrathin gate oxide;
transmission electron microscope;
constant voltage stress;
breakdown hardness;
34.
Experimental demonstration of a QCA shift register and analysis of errors
机译:
QCA移位寄存器的实验演示和错误分析
作者:
Kummamuru R.K.
;
Orlov A.O.
;
Ramasubramaniam R.
;
Lent C.S.
;
Bernstein G.H.
;
Snider G.L.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
semiconductor quantum dots;
cellular automata;
shift registers;
error analysis;
logic devices;
quantum gates;
quantum-dot cellular automata shift register;
quantum-dot arrays;
digital logic implementation;
two-stage shift register;
errors analysis;
s;
35.
Experimental determination of band offset energies between Zr silicate alloy dielectrics and crystalline Si substrates by XAS, XPS and AES and ab initio theory: a new approach to the compositional dependence of direct tunneling currents
机译:
XAS,XPS和AES以及从头算的实验确定Zr硅酸盐合金电介质和晶体Si衬底之间的带隙能的实验:一种直接依赖于隧道电流的新方法
作者:
Lucovsky G.
;
Rayner B.
;
Yu Zhang
;
Whitten J.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
zirconium compounds;
hafnium compounds;
silicon compounds;
valence bands;
conduction bands;
Auger electron spectra;
X-ray photoelectron spectra;
X-ray absorption spectra;
tunnelling;
XPS;
AES;
XAS;
noncrystalline silicate alloys;
band edge electronic;
36.
Fabrication and modeling of gigahertz photodetectors in heteroepitaxial Ge-on-Si using a graded buffer layer deposited by low energy plasma enhanced CVD
机译:
使用低能等离子体增强CVD沉积的梯度缓冲层在异质外延Ge-on-Si中制造千兆赫光电探测器并进行建模
作者:
Jones R.E.
;
Thomas S.G.
;
Bharatan S.
;
Thoma R.
;
Jasper C.
;
Zirkle T.
;
Edwards N.V.
;
Liu R.
;
Wang X.D.
;
Xie Q.
;
Rosenblad C.
;
Ramm J.
;
Iselle G.
;
von Kanel H.
;
Oh J.
;
Campbell J.C.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
photodetectors;
plasma CVD;
germanium;
elemental semiconductors;
Ge-Si alloys;
silicon;
dark conductivity;
optical receivers;
gigahertz photodetectors;
graded buffer layer;
low energy plasma enhanced CVD;
fiber optics communications receivers;
Ge-on-;
37.
Fully electronic DNA detection on a CMOS chip: device and process issues
机译:
CMOS芯片上的全电子DNA检测:设备和工艺问题
作者:
Hofmann F.
;
Frey A.
;
Holzapfl B.
;
Schienle M.
;
Paulus C.
;
Schindler-Bauer P.
;
Kuhlmeier D.
;
Krause J.
;
Hintsche R.
;
Nebling E.
;
Albers J.
;
Gumbrecht W.
;
Plehnert K.
;
Eckstein G.
;
Thewes R.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DNA;
electrochemical sensors;
biosensors;
CMOS integrated circuits;
arrays;
biological techniques;
integrated circuit technology;
electronic DNA detection;
CMOS chip;
sensor array;
DNA molecules;
extended CMOS process;
Au deposition process module;
C;
38.
High mobility p-channel germanium MOSFETs with a thin Ge oxynitride gate dielectric
机译:
具有薄型Ge氮氧化物栅极电介质的高迁移率p沟道锗MOSFET
作者:
Huiling Shang
;
Okorn-Schmidt H.
;
Chan K.K.
;
Copel M.
;
Ott J.A.
;
Kozlowski P.M.
;
Steen S.E.
;
Cordes S.A.
;
Wong H.-S.P.
;
Jones E.C.
;
Haensch W.E.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
germanium;
MOSFET;
hole mobility;
dielectric thin films;
nitridation;
elemental semiconductors;
high mobility Ge p-channel MOSFETs;
Ge oxynitride gate dielectric;
thin gate stack;
LTO;
bulk Ge substrate;
device characteristics;
subthreshold slope;
ho;
39.
High-performance single-crystalline-silicon TFTs on a non-alkali glass substrate
机译:
在无碱玻璃基板上的高性能单晶硅TFT
作者:
Sano Y.
;
Takei M.
;
Hara A.
;
Sasaki N.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon;
thin film transistors;
elemental semiconductors;
laser beam annealing;
recrystallisation annealing;
carrier mobility;
high-performance single-crystalline Si TFTs;
nonalkali glass substrate;
single-crystal silicon growth method;
high-performa;
40.
HiSIM: a MOSFET model for circuit simulation connecting circuit performance with technology
机译:
HiSIM:用于电路仿真的MOSFET模型,将电路性能与技术联系在一起
作者:
Miura-Mattausch M.
;
Ueno H.
;
Tanaka M.
;
Mattausch H.J.
;
Kumashiro S.
;
Yamaguchi T.
;
Yamashita K.
;
Nakayama N.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
semiconductor device models;
circuit simulation;
iterative methods;
HiSIM;
MOSFET model;
circuit simulation model;
STARC IGFET model;
iterative surface-potential determination;
2D device simulators;
large-scale circuit simulation;
0.1 micron;
41.
I-MOS: a novel semiconductor device with a subthreshold slope lower than kT/q
机译:
I-MOS:亚阈值斜率低于kT / q的新型半导体器件
作者:
Gopalakrishnan K.
;
Griffin P.B.
;
Plummer J.D.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
field effect transistor switches;
impact ionisation;
silicon;
semiconductor device breakdown;
modulation;
I-MOS semiconductor device;
subthreshold slope;
transistor;
breakdown voltage modulation;
gated p-i-n structure;
complementary circuits;
42.
Noise performance of a low base resistance 200 GHz SiGe technology
机译:
低基极电阻200 GHz SiGe技术的噪声性能
作者:
Greenberg D.R.
;
Jagannathan B.
;
Sweeney S.
;
Freeman G.
;
Ahlgren D.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
Ge-Si alloys;
semiconductor materials;
integrated circuit noise;
BiCMOS integrated circuits;
system-on-chip;
UHF integrated circuits;
bipolar MMIC;
base resistance;
SiGe;
noise performance;
wireless design;
BiCMOS;
bipolar-based processes;
system-on-;
43.
PHINES: a novel low power program/erase, small pitch, 2-bit per cell flash memory
机译:
PHINES:一种新颖的低功耗编程/擦除,小间距,每单元2位闪存
作者:
Yeh C.C.
;
Tsai W.J.
;
Liu M.I.
;
Lu T.C.
;
Cho S.K.
;
Lin C.J.
;
Tahui Wang
;
Pan S.
;
Chih-Yuan Lu
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
flash memories;
low-power electronics;
hot carriers;
PHINES;
low power program/erase;
flash memory;
band-to-band hot hole injection;
nitride trapping storage cell;
endurance characteristics;
retention characteristics;
device scaling;
44.
Single photons and entangled photons from a quantum dot
机译:
来自量子点的单光子和纠缠光子
作者:
Vuckovic J.
;
Santori C.
;
Fattal D.
;
Pelton M.
;
Solomon G.S.
;
Bingyang Zhang
;
Plant J.
;
Yamamoto Y.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
indium compounds;
gallium arsenide;
III-V semiconductors;
semiconductor quantum dots;
quantum cryptography;
self-assembly;
photoluminescence;
spontaneous emission;
single photon source;
pulsed excitation;
self-assembled quantum dot;
InAs/GaAs quantum;
45.
Thermal distribution during destructive pulses in ESD protection devices using a single-shot, two-dimensional interferometric method
机译:
使用单次二维干涉法的ESD保护器件中的破坏性脉冲期间的热分布
作者:
Pogany D.
;
Bychikhin S.
;
Kuzmik J.
;
Dubec V.
;
Jensen N.
;
Denison M.
;
Groos G.
;
Stecher M.
;
Gornik E.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
power semiconductor diodes;
power bipolar transistors;
thyristors;
electrostatic discharge;
semiconductor device reliability;
protection;
temperature distribution;
failure analysis;
holographic interferometry;
smart power ESD protection devices;
ther;
46.
Ultra-thin, high-resolution, flexible electronic ink displays addressed by a-Si active-matrix TFT backplanes on stainless steel foil
机译:
超薄,高分辨率,柔性电子墨水显示器,通过不锈钢箔上的a-Si有源矩阵TFT背板解决
作者:
Chen Y.
;
Au J.
;
Kazlas P.
;
Ritenour A.
;
Gates H.
;
Goodman J.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
liquid crystal displays;
thin film transistors;
image resolution;
flat panel displays;
resolution;
flexible electronic ink displays;
active-matrix TFT backplanes;
stainless steel foil;
linear mobility;
ON/OFF ratio;
bias-temperature-stress;
flat pane;
47.
10 W GaInP/GaAs power HBTs for base station applications
机译:
用于基站应用的10 W GaInP / GaAs功率HBT
作者:
Kurpas P.
;
Maassdorf A.
;
Doser W.
;
Heymann P.
;
Janke B.
;
Schnieder F.
;
Blanck H.
;
Auxemery P.
;
Pons D.
;
Heinrich W.
;
Wurfl J.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
heterojunction bipolar transistors;
gallium compounds;
indium compounds;
gallium arsenide;
III-V semiconductors;
power bipolar transistors;
UHF bipolar transistors;
semiconductor device breakdown;
current density;
semiconductor device models;
semicon;
48.
14 nm gate length CMOSFETs utilizing low thermal budget process with poly-SiGe and Ni salicide
机译:
14 nm栅极长度CMOSFET,采用低热预算工艺和多晶SiGe和Ni硅化物
作者:
Hokazono A.
;
Ohuchi K.
;
Takayanagi M.
;
Watanabe Y.
;
Magoshi S.
;
Kato Y.
;
Shimizu T.
;
Mori S.
;
Oguma H.
;
Sasaki T.
;
Yoshimura H.
;
Miyano K.
;
Yasutake N.
;
Suto H.
;
Adachi K.
;
Fukui H.
;
Watanabe T.
;
Tamaoki N.
;
Toyoshima Y.
;
Ishiuchi H.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
nanotechnology;
Ge-Si alloys;
ion implantation;
semiconductor device metallisation;
annealing;
nitridation;
semiconductor device measurement;
14 nm gate length CMOSFETs;
low thermal budget process;
poly-SiGe gate electrode;
Ni salicide;
shall;
49.
25 nm CMOS Omega FETs
机译:
25 nm CMOS Omega FET
作者:
Fu-Liang Yang
;
Hao-Yu Chen
;
Fang-Cheng Chen
;
Cheng-Chuan Huang
;
Chang-Yun Chang
;
Hsien-Kuang Chiu
;
Chi-Chuang Lee
;
Chi-Chun Chen
;
Huan-Tsung Huang
;
Chih-Jian Chen
;
Hun-Jan Tao
;
Yee-Chia Yeo
;
Mong-Song Liang
;
Chenming Hu
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS integrated circuits;
MOSFET;
nanoelectronics;
VLSI;
leakage currents;
low-power electronics;
hot carriers;
CMOS Omega FETs;
Omega-shaped structure;
CMOSFETs;
low leakage MOSFETs;
low active-power MOSFETs;
hot carrier immunity;
n-FET;
p-FET;
low;
50.
4 Mbit embedded FRAM for high performance System on Chip (SoC) with large switching charge, reliable retention and high imprint resistance
机译:
4 Mbit嵌入式FRAM,用于高性能片上系统(SoC),具有较大的开关电荷,可靠的保留和较高的抗压印性
作者:
Horii Y.
;
Hikosaka Y.
;
Itoh A.
;
Matsuura K.
;
Kurasawa M.
;
Komuro G.
;
Maruyama K.
;
Eshita T.
;
Kashiwagi S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
ferroelectric capacitors;
ferroelectric storage;
system-on-chip;
CMOS integrated circuits;
integrated circuit reliability;
embedded systems;
MOCVD;
sputter etching;
4 Mbit embedded FRAM;
high performance system on chip;
switching charge;
reliable ret;
51.
50nm gate length logic technology with 9-layer Cu interconnects for 90nm node SoC applications
机译:
具有9层铜互连的50nm栅极长度逻辑技术,适用于90nm节点SoC应用
作者:
Kim Y.W.
;
Oh C.B.
;
Ko Y.G.
;
Lee K.T.
;
Ahn J.H.
;
Park T.S.
;
Kang H.S.
;
Lee D.H.
;
Jung M.K.
;
Yu H.J.
;
Jung K.S.
;
Liu S.H.
;
Oh B.J.
;
Kim K.S.
;
Lee N.I.
;
Park M.H.
;
Bae G.J.
;
Lee S.G.
;
Song W.S.
;
Wee Y.G.
;
Jeon C.H.
;
Suh K.P.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS digital integrated circuits;
system-on-chip;
capacitance;
MIM devices;
SRAM chips;
silicon-on-insulator;
dielectric thin films;
low-power electronics;
integrated circuit interconnections;
gate length;
logic technology;
SoC applications;
Cu/low-k;
52.
65 nm CMOS technology (CMOS5) with high density embedded memories for broadband microprocessor applications
机译:
具有宽带微处理器应用的高密度嵌入式存储器的65 nm CMOS技术(CMOS5)
作者:
Yanagiya N.
;
Matsuda S.
;
Inaba S.
;
Takayanagi M.
;
Mizushima I.
;
Ohuchi K.
;
Okano K.
;
Takahasi K.
;
Morifuji E.
;
Kanda M.
;
Matsubara Y.
;
Habu M.
;
Nishigoori M.
;
Honda K.
;
Tsuno H.
;
Yasumoto K.
;
Yamamoto T.
;
Hiyama K.
;
Kokubun K.
;
Suzuki T.
;
Yoshikawa J.
;
Sakurai T.
;
Ishizuka T.
;
Shoda Y.
;
Moriuchi M.
;
Kishida M.
;
Matsumori H.
;
Harakawa H.
;
Oyamatsu H.
;
Nagashima N.
;
Yamada S.
;
Noguchi T.
;
Okamoto H.
;
Kakumu M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS memory circuits;
microprocessor chips;
system-on-chip;
DRAM chips;
SRAM chips;
CMOS technology;
high-density embedded memory;
broadband microprocessor;
system-on-chip;
DRAM cell;
MOSFET;
Ni salicide;
ultra shallow junction;
DUV lithography;
alte;
53.
75 nm damascene metal gate and high-k integration for advanced CMOS devices
机译:
75 nm镶嵌金属栅极和高k集成,用于高级CMOS器件
作者:
Guillaumot B.
;
Garros X.
;
Lime F.
;
Oshima K.
;
Tavel B.
;
Chroboczek J.A.
;
Masson P.
;
Truche R.
;
Papon A.M.
;
Martin F.
;
Damlencourt J.F.
;
Maitrejean S.
;
Rivoire M.
;
Leroux C.
;
Cristoloveanu S.
;
Ghibaudo G.
;
Autran J.L.
;
Skotnicki T.
;
Deleonibus S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS integrated circuits;
integrated circuit metallisation;
dielectric thin films;
low-power electronics;
integrated circuit noise;
MOSFET;
advanced CMOS process;
75 nm damascene metal gate;
high-k integration;
high-k dielectrics;
EOT;
TEM;
split C-V;
54.
Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance
机译:
沟槽隔离引起的机械应力对MOSFET电性能的精确建模
作者:
Bianchi R.A.
;
Bouche G.
;
Roux-dit-Buisson O.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
isolation technology;
MOSFET;
semiconductor device models;
integrated circuit modelling;
CMOS integrated circuits;
stress effects;
carrier mobility;
trench isolation induced stress effects;
mechanical stress effects;
MOSFET electrical performance;
mo;
55.
Additional scattering effects for mobility degradation in Hf-silicate gate MISFETs
机译:
H硅栅MISFET中迁移率降低的其他散射效应
作者:
Yamaguchi T.
;
Iijima R.
;
Ino T.
;
Nishiyama A.
;
Satake H.
;
Fukushima N.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
hafnium compounds;
MISFET;
electron mobility;
impurity scattering;
crystallisation;
interface states;
dielectric thin films;
rapid thermal annealing;
Hf-silicate gate MISFETs;
mobility degradation;
additional scattering effects;
crystallization contr;
56.
Advanced gate dielectric materials for sub-100 nm CMOS
机译:
低于100 nm CMOS的高级栅极介电材料
作者:
Iwai H.
;
Ohmi S.
;
Akama S.
;
Ohshima C.
;
Kikuchi A.
;
Kashiwagi I.
;
Taguchi J.
;
Yamamoto H.
;
Tonotani J.
;
Kim Y.
;
Ueda I.
;
Kuriyama A.
;
Yoshihara Y.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
lanthanum compounds;
dielectric thin films;
MIS capacitors;
annealing;
molecular beam epitaxial growth;
leakage currents;
La/sub 2/O/sub 3/ gate dielectric materials;
sub-100 nm CMOS;
gate insulators;
MBE;
amorphous high-k films;
n-type 100 Si subs;
57.
Advanced metal barrier free Cu damascene interconnects with PECVD silicon carbide barriers for 90/65-nm BEOL technology
机译:
先进的无金属阻挡铜镶嵌与PECVD碳化硅阻挡层互连,适用于90/65 nm BEOL技术
作者:
Wu Z.C.
;
Lu Y.C.
;
Chiang C.C.
;
Chen M.C.
;
Chen B.T.
;
Wang G.J.
;
Chen Y.T.
;
Huang J.L.
;
Jang S.M.
;
Liang M.S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
copper;
dielectric thin films;
delays;
leakage currents;
semiconductor device breakdown;
CMOS integrated circuits;
integrated circuit metallisation;
integrated circuit interconnections;
diffusion barriers;
Cu;
low-k CVD OSG;
RC delay;
via resistance;
58.
Advancement of MEMS into RF-filter applications
机译:
MEMS在射频滤波器应用中的进步
作者:
Aigner R.
;
Ella J.
;
Timme H.-J.
;
Elbrecht L.
;
Nessler W.
;
Marksteiner S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
bulk acoustic wave devices;
crystal resonators;
acoustic resonators;
radiofrequency filters;
mobile radio;
micromechanical devices;
MEMS;
RF-filter applications;
mobile communication;
electric field driven resonators;
piezoelectric resonators;
bulk-a;
59.
AlGaN/GaN HEMTs on SiC operating at 40 GHz
机译:
在40 GHz下运行的SiC上的AlGaN / GaN HEMT
作者:
Quay R.
;
Kiefer R.
;
van Raay F.
;
Massler H.
;
Ramberger S.
;
Muller S.
;
Dammann M.
;
Mikulla M.
;
Schlechtweg M.
;
Weimann G.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
power HEMT;
millimetre wave power transistors;
aluminium compounds;
gallium compounds;
III-V semiconductors;
wide band gap semiconductors;
silicon compounds;
millimetre wave field effect transistors;
semiconductor device measurement;
millimetre wave;
60.
An effective loop inductance model for general non-orthogonal interconnect with random capacitive coupling
机译:
具有随机电容耦合的通用非正交互连的有效环路电感模型
作者:
Sang-Pil Sim
;
Chao C.
;
Krishnan S.
;
Petranovic D.M.
;
Arora N.D.
;
Kwyro Lee
;
Yang C.Y.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
integrated circuit interconnections;
integrated circuit modelling;
inductance;
RLC circuits;
circuit simulation;
SPICE;
nonorthogonal interconnect;
random capacitive coupling;
effective loop inductance model;
analytic inductance model;
on-chip interc;
61.
An embedded 90 nm SONOS nonvolatile memory utilizing hot electron programming and uniform tunnel erase
机译:
利用热电子编程和均匀隧道擦除的嵌入式90 nm SONOS非易失性存储器
作者:
Swift C.T.
;
Chindalore G.L.
;
Harber K.
;
Harp T.S.
;
Hoefler A.
;
Hong C.M.
;
Ingersoll P.A.
;
Li C.B.
;
Prinz E.J.
;
Yater J.A.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
flash memories;
CMOS memory circuits;
tunnelling;
hot carriers;
integrated circuit reliability;
embedded SONOS nonvolatile memory;
hot electron programming;
uniform tunnel erase;
flash EEPROM;
reliability;
CMOS logic process;
copper backend;
90 nm;
C;
62.
Analysis of reliability in low-temperature poly-Si thin film transistors using pico-second time-resolved emission microscope
机译:
皮秒时间分辨发射显微镜分析低温多晶硅薄膜晶体管的可靠性
作者:
Uraoka Y.
;
Hirai N.
;
Yano H.
;
Hatayama T.
;
Fuyuki T.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
semiconductor device reliability;
thin film transistors;
elemental semiconductors;
silicon;
electron microscopy;
hot carriers;
semiconductor device measurement;
reliability;
thin film transistors;
polysilicon;
pico-second time-resolved emission micro;
63.
Carbon nanotube electronics
机译:
碳纳米管电子产品
作者:
Avouris P.
;
Appenzeller J.
;
Derycke V.
;
Martel R.
;
Wind S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
carbon nanotubes;
nanoelectronics;
field effect transistors;
monolithic integrated circuits;
carbon nanotube electronics;
electronic properties;
fabrication;
carbon nanotube FET;
carbon nanotube field-effect transistors;
integrated circuits;
catalyst;
64.
Charge trapping in high k gate dielectric stacks
机译:
高k栅极电介质堆栈中的电荷陷阱
作者:
Zafar S.
;
Callegari A.
;
Gusev E.
;
Fischetti M.V.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
alumina;
hafnium compounds;
dielectric thin films;
dielectric thin films;
permittivity;
MOSFET;
semiconductor device models;
leakage currents;
electric charge;
charge trapping;
high-k gate dielectric stacks;
Al/sub 2/O/sub 3/ nFETs;
HfO/sub 2/ nFETs;
65.
Compact modeling of drain and gate current noise for RF CMOS
机译:
紧凑的RF CMOS漏极和栅极电流噪声建模
作者:
Scholten A.J.
;
Tiemeijer L.F.
;
van Langevelde R.
;
Havens R.J.
;
Venezia V.C.
;
Zegers-van Duijnhoven A.T.A.
;
Neinhus B.
;
Jungemann C.
;
Klaasen D.B.M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
radiofrequency integrated circuits;
integrated circuit noise;
integrated circuit modelling;
integrated circuit design;
shot noise;
avalanche breakdown;
tunnelling;
CMOS integrated circuits;
equivalent circuits;
compact modeling;
drain current noise;
66.
Correlated defect generation in thin oxides and its impact on Flash reliability
机译:
薄氧化物中相关缺陷的产生及其对闪存可靠性的影响
作者:
Ielmini D.
;
Spinelli A.S.
;
Lacaita A.L.
;
van Duuren M.J.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
flash memories;
CMOS memory circuits;
dielectric thin films;
semiconductor device breakdown;
MOSFET;
integrated circuit reliability;
defect states;
Monte Carlo methods;
leakage currents;
percolation;
NOR-type flash memories;
flash reliability;
thin o;
67.
Cu dual damascene interconnects with in-situ fluorinated carbon-nitride (FCN: -C=N(F)-) barrier layers in low-k organic film
机译:
Cu双金属镶嵌与低k有机膜中的原位氟化碳氮化物(FCN:-C = N(F)-)阻挡层互连
作者:
Ohtake H.
;
Saito S.
;
Tada M.
;
Harada Y.
;
Onodera T.
;
Hayashi Y.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
copper;
integrated circuit interconnections;
diffusion barriers;
dielectric thin films;
organic compounds;
sputter etching;
Cu dual damascene interconnect;
in-situ fluorinated carbon-nitride barrier layer;
low-k dielectric film;
organosiloxane film;
68.
Degradation mechanisms of GaN-based LEDs after accelerated DC current aging
机译:
加速直流电流老化后GaN基LED的降解机理
作者:
Meneghesso G.
;
Levada S.
;
Pierobon R.
;
Rampazzo F.
;
Zanoni E.
;
Cavallini A.
;
Castaldini A.
;
Scamarcio G.
;
Du S.
;
Eliashevich I.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
gallium compounds;
III-V semiconductors;
wide band gap semiconductors;
light emitting diodes;
ageing;
failure analysis;
doping profiles;
deep level transient spectroscopy;
photoconductivity;
indium compounds;
extended defects;
accelerated DC current;
69.
Design for scaled thin film strained-SOI CMOS devices with higher carrier mobility
机译:
具有更高载流子迁移率的按比例缩放薄膜应变SOI CMOS器件的设计
作者:
Mizuno T.
;
Sugiyama N.
;
Tezuka T.
;
Numata T.
;
Maeda T.
;
Takagi S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon-on-insulator;
MOSFET;
hole mobility;
electron mobility;
interface states;
elemental semiconductors;
silicon;
Ge-Si alloys;
semiconductor materials;
scaled thin film strained-SOI;
CMOS devices;
hole mobility;
electron mobility;
quantum-mechani;
70.
Determination of the line edge roughness specification for 34 nm devices
机译:
确定34 nm器件的线边缘粗糙度规格
作者:
Linton T.
;
Chandhok M.
;
Rice B.J.
;
Schrom G.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MIS devices;
surface topography;
semiconductor device measurement;
current distribution;
statistical analysis;
photolithography;
MOS devices;
gate line edge roughness;
enhanced statistical technique;
experimental current measurement correction;
MOS g;
71.
Device performance of sub-50 nm CMOS with ultra-thin plasma nitrided gate dielectrics
机译:
具有超薄等离子体氮化栅极电介质的50 nm以下CMOS器件性能
作者:
Inaba S.
;
Shimizu T.
;
Mori S.
;
Sekine K.
;
Saki K.
;
Suto H.
;
Fukui H.
;
Nagamine M.
;
Fujiwara M.
;
Yamamoto T.
;
Takayanagi M.
;
Mizushima I.
;
Okano K.
;
Matsuda S.
;
Oyamatsu H.
;
Tsunashima Y.
;
Yamada S.
;
Toyoshima Y.
;
Ishiuchi H.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS integrated circuits;
dielectric thin films;
nitridation;
nitrogen;
interface roughness;
semiconductor-insulator boundaries;
boron;
leakage currents;
circuit stability;
nanoelectronics;
physical characteristics;
electrical characteristics;
ultra-;
72.
Does source-to-drain tunneling limit the ultimate scaling of MOSFETs?
机译:
源漏隧道是否限制了MOSFET的最终规模?
作者:
Jing Wang
;
Lundstrom M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
tunnelling;
electrostatics;
scattering;
Green's function methods;
semiconductor device models;
nonequilibrium Green's function approach;
source-to-drain tunneling;
MOSFETs;
scaling limit;
channel length;
electrostatics;
ambient temperature;
s;
73.
Drain-accelerated degradation of tunnel oxides in Flash memories
机译:
闪存中隧道氧化物的漏极加速降解
作者:
Chimenton A.
;
Spinelli A.S.
;
Ielmini D.
;
Lacaita A.L.
;
Visconti A.
;
Olivo P.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
flash memories;
tunnelling;
hot carriers;
drain-accelerated degradation;
tunnel oxide;
flash memory;
oxide damage;
program/erase cycling;
parasitic hot-hole injection;
bitline biasing;
spatial distribution;
electron injection;
hole injection;
tail ce;
74.
Effects of nitrogen in HfSiON gate dielectric on the electrical and thermal characteristics
机译:
HfSiON栅极电介质中氮对电和热特性的影响
作者:
Koyama M.
;
Kaneko A.
;
Ino T.
;
Koike M.
;
Kamata Y.
;
Iijima R.
;
Kamimuta Y.
;
Takashima A.
;
Suzuki M.
;
Hongo C.
;
Inumiya S.
;
Takayanagi M.
;
Nishiyama A.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
hafnium compounds;
silicon compounds;
dielectric thin films;
permittivity;
thermal stability;
bonds (chemical);
nitrogen;
annealing;
MOS capacitors;
sputtered coatings;
HfSiON gate dielectric;
electrical characteristics;
thermal characteristics;
nitr;
75.
Effects of ultra-narrow channel on characteristics of MOSFET memory with silicon nanocrystal floating gates
机译:
超窄通道对硅纳米晶体浮栅MOSFET存储器特性的影响
作者:
Saitoh M.
;
Nagata E.
;
Hiramoto T.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOS memory circuits;
elemental semiconductors;
low-power electronics;
single electron devices;
nanoelectronics;
silicon;
ultra-narrow channel;
MOSFET memory;
nanocrystal floating gates;
floating-dot memory;
channel width;
bottleneck effect;
quantum c;
76.
Electromigration reliability of Cu interconnects and effects of low K dielectrics
机译:
铜互连的电迁移可靠性和低K电介质的影响
作者:
Ho P.S.
;
Lee K.-D.
;
Ogawa E.T.
;
Lu X.
;
Matsuhashi H.
;
Blaschke V.A.
;
Augur R.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
integrated circuit reliability;
electromigration;
integrated circuit interconnections;
copper;
dielectric thin films;
failure analysis;
electromigration reliability;
Cu interconnect;
low-k dielectric;
dual damascene structure;
oxide ILD;
low-k ILD;
m;
77.
Electronic switching effect in phase-change memory cells
机译:
相变存储单元中的电子开关效应
作者:
Pirovano A.
;
Lacaita A.L.
;
Merlani D.
;
Benvenuti A.
;
Pellizzer F.
;
Bez R.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
chalcogenide glasses;
semiconductor switches;
semiconductor storage;
energy gap;
electronic switching;
phase-change memory cell;
current-voltage characteristics;
band gap model;
crystalline chalcogenide;
amorphous chalcogenide;
78.
Examination of hole mobility in ultra-thin body SOI MOSFETs
机译:
检查超薄体SOI MOSFET中的空穴迁移率
作者:
Zhibin Ren
;
Solomon P.M.
;
Kanarsky T.
;
Doris B.
;
Dokumaci O.
;
Oldiges P.
;
Roy R.A.
;
Jones E.C.
;
Meikei Ieong
;
Miller R.J.
;
Haensch W.
;
Wong H.-S.P.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon-on-insulator;
surface scattering;
hole mobility;
MOSFET;
hole mobility;
ultra-thin body SOI MOSFET;
surface roughness scattering;
conducting band potential;
spatial confinement;
3.7 to 50 nm;
79 to 320 K;
79.
Experimental characterization of stiction due to charging in RF MEMS
机译:
RF MEMS中由于充电引起的静摩擦的实验表征
作者:
van Spengen W.M.
;
Puers R.
;
Mertens R.
;
De Wolf I.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
stiction;
microswitches;
automatic optical inspection;
microwave switches;
electrostatic actuators;
stiction;
charging;
RF MEMS;
figure of merit;
capacitive switches;
low-frequency capacitance measurements;
interferometric optical inspection;
total a;
80.
Experimental study on carrier transport mechanism in ultrathin-body SOI nand p-MOSFETs with SOI thickness less than 5 nm
机译:
SOI厚度小于5 nm的超薄体SOI n和p-MOSFET载流子传输机制的实验研究
作者:
Uchida K.
;
Watanabe H.
;
Kinoshita A.
;
Koga J.
;
Numata T.
;
Takagi S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon-on-insulator;
electron mobility;
MOSFET;
carrier transport;
ultrathin-body SOI CMOSFET;
electrical characteristics;
electron mobility;
thickness fluctuations;
threshold voltage;
gate-channel capacitance;
2.3 to 8 nm;
81.
Experimentalumerical investigation on current collapse in AlGaN/GaN HEMT's
机译:
AlGaN / GaN HEMT中电流崩塌的实验/数值研究
作者:
Verzellesi G.
;
Pierobon R.
;
Rampazzo F.
;
Meneghesso G.
;
Chini A.
;
Mishra U.K.
;
Canali C.
;
Zanoni E.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
wide band gap semiconductors;
III-V semiconductors;
aluminium compounds;
gallium compounds;
high electron mobility transistors;
hole traps;
AlGaN/GaN HEMT;
RF current collapse;
small-signal measurement;
numerical device simulation;
hole trap;
polariz;
82.
Extreme scaling with ultra-thin Si channel MOSFETs
机译:
超薄Si沟道MOSFET的极致扩展
作者:
Doris B.
;
Meikei Ieong
;
Kanarsky T.
;
Ying Zhang
;
Roy R.A.
;
Dokumaci O.
;
Zhibin Ren
;
Fen-Fen Jamin
;
Leathen Shi
;
Natzle W.
;
Hsiang-Jen Huang
;
Mezzapelle J.
;
Mocuta A.
;
Womack S.
;
Gribelyuk M.
;
Jones E.C.
;
Miller R.J.
;
Wong H.-S.P.
;
Haensch W.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon;
elemental semiconductors;
silicon-on-insulator;
MOSFET;
CMOS integrated circuits;
nanoelectronics;
extreme scaling;
ultra-thin Si channel MOSFETs;
thick gate oxide;
scaling limits;
planar single gate technology;
SOI channels;
SCE control;
sh;
83.
Ferromagnetic RF inductors and transformers for standard CMOS/BiCMOS
机译:
用于标准CMOS / BiCMOS的铁磁射频电感器和变压器
作者:
Zhuang Y.
;
Vroubel M.
;
Rejaei B.
;
Burghartz J.N.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
inductors;
transformers;
MMIC;
ferromagnetic materials;
magnetic anisotropy;
magnetic thin film devices;
solenoids;
magnetic cores;
Permalloy;
ferromagnetic RF inductors;
ferromagnetic RF transformers;
standard CMOS/BiCMOS;
solenoidal radio-frequency;
84.
Field electron emission device using silicon nano-wires
机译:
使用硅纳米线的场电子发射器件
作者:
Sawada K.
;
Futagawa M.
;
Arai Y.
;
Kawano T.
;
Takao H.
;
Ishida M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
vacuum microelectronics;
nanowires;
intelligent structures;
elemental semiconductors;
silicon;
field electron emission device;
gated nano-wires;
threshold voltage;
emission current;
smart device;
operation voltage;
13 V;
10 nA;
15 V;
Si;
85.
FinFET process refinements for improved mobility and gate work function engineering
机译:
FinFET工艺改进,以改善迁移率和栅极功函数工程
作者:
Yang-Kyu Choi
;
Leland Chang
;
Ranade P.
;
Jeong-Soo Lee
;
Daewon Ha
;
Balasubramanian S.
;
Agarwal A.
;
Ameen M.
;
Tsu-Jae King
;
Bokor J.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
CMOS integrated circuits;
carrier mobility;
work function;
annealing;
hydrogen;
semiconductor device noise;
molybdenum;
semiconductor device metallisation;
FinFET process refinements;
mobility improvement;
gate work function engineering;
H an;
86.
FinFET scaling to 10 nm gate length
机译:
FinFET缩放至10 nm栅极长度
作者:
Bin Yu
;
Leland Chang
;
Ahmed S.
;
Haihong Wang
;
Bell S.
;
Chih-Yuh Yang
;
Tabery C.
;
Chau Ho
;
Qi Xiang
;
Tsu-Jae King
;
Bokor J.
;
Chenming Hu
;
Ming-Ren Lin
;
Kyser D.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
silicon;
CMOS integrated circuits;
integrated circuit technology;
nanoelectronics;
FinFET scaling;
nanoscale CMOS;
scaling performance;
fabrication;
integration issues;
double-gate FinFET;
double-gate transistors;
short-channel performance;
D;
87.
Fluorine diffusion: models and experiments
机译:
氟扩散:模型和实验
作者:
Robison R.R.
;
Law M.E.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
diffusion;
elemental semiconductors;
silicon;
fluorine;
semiconductor doping;
semiconductor process modelling;
diffusion models;
Si:F/sup +/;
shallower junctions;
anomalous motion;
transistor minimization;
time-dependent diffusion;
dose levels;
physi;
88.
Fully depleted surrounding gate transistor (SGT) for 70 nm DRAM and beyond
机译:
适用于70 nm DRAM及更高容量的完全耗尽的环绕栅晶体管(SGT)
作者:
Goebel B.
;
Lutzen J.
;
Manger D.
;
Moll P.
;
Mummler K.
;
Popp M.
;
Scheler U.
;
Schlosser T.
;
Seidl H.
;
Sesterhenn M.
;
Slesazeck S.
;
Tegen S.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DRAM chips;
leakage currents;
MOSFET;
MOS memory circuits;
nanoelectronics;
fully depleted surrounding gate transistor;
fully depleted SGT;
vertical MOSFET;
DRAM cells;
static retention time;
dynamic retention time;
transient bipolar effect;
DRAM sca;
89.
Fully integrated 64 Kb MRAM with novel reference cell scheme
机译:
具有新颖参考单元方案的完全集成64 Kb MRAM
作者:
Jeong H.S.
;
Jeong G.T.
;
Koh G.H.
;
Song I.H.
;
Park W.J.
;
Kim T.W.
;
Jeong S.J.
;
Hwang Y.N.
;
Ahn S.J.
;
Kim H.J.
;
Hong J.S.
;
Jeong W.C.
;
Lee S.H.
;
Park J.H.
;
Cho W.Y.
;
Kim J.S.
;
Song S.H.
;
Park S.O.
;
Jeong U.I.
;
Kim K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS memory circuits;
magnetic storage;
magnetoelectronics;
magnetic tunnelling;
random-access storage;
integrated circuit metallisation;
surface topography;
sputter etching;
CMOS fully integrated 64 Kb MRAM;
reference cell scheme;
magnetic tunnel ju;
90.
Fully-implantable auditory prostheses: restoring hearing to the profoundly deaf
机译:
完全可植入的听觉假体:恢复严重耳聋的听力
作者:
Wise K.D.
;
Najafi K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
hearing aids;
ear;
artificial organs;
biomedical electrodes;
micromechanical devices;
neurophysiology;
cochlear prostheses;
auditory nerve;
charge-balanced pulse rates;
pulse widths;
performance limits;
MEMS-based microsystems;
parallel data channels;
91.
Future 1T1C FRAM technologies for highly reliable, high density FRAM
机译:
未来的1T1C FRAM技术可实现高度可靠的高密度FRAM
作者:
Lee S.Y.
;
Kim K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
ferroelectric storage;
random-access storage;
integrated circuit reliability;
1T1C FRAM technology;
high-density memory;
etchless capacitor technology;
reliability;
MOCVD PZT technology;
32 Mbit;
0.25 micron;
PZT;
PbZrO3TiO3;
92.
High efficient 820 nm MOS Ge quantum dot photodetectors for short-reach integrated optical receivers with 1300 and 1550 nm sensitivity
机译:
高效的820 nm MOS Ge量子点光电探测器,用于具有1300和1550 nm灵敏度的短距离集成光接收器
作者:
Hsu B.-C.
;
Chang S.T.
;
Shie C.-R.
;
Lai C.-C.
;
Chen P.S.
;
Liu C.W.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
germanium;
elemental semiconductors;
photodetectors;
integrated optoelectronics;
optical receivers;
semiconductor quantum dots;
liquid phase deposition;
tunnelling;
MIS devices;
photodetector;
Ge quantum dot;
MOS tunneling structure;
quantum dots fab;
93.
High efficient 850 nm and 1,310 nm multiple quantum well SiGe/Si heterojunction phototransistors with 1.25 plus GHz bandwidth (850 nm)
机译:
具有1.25 plus GHz带宽(850 nm)的高效850 nm和1,310 nm多量子阱SiGe / Si异质结光电晶体管
作者:
Pei Z.
;
Liang C.S.
;
Lai L.S.
;
Tseng Y.T.
;
Hsu Y.M.
;
Chen P.S.
;
Lu S.C.
;
Liu C.M.
;
Tsai M.-J.
;
Liu C.W.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
Ge-Si alloys;
silicon;
phototransistors;
optical receivers;
heterojunction bipolar transistors;
quantum well devices;
semiconductor quantum wells;
semiconductor materials;
multiple quantum well SiGe/Si heterojunction phototransistors;
Si/sub 0.5/Ge/s;
94.
High performance 30 nm bulk CMOS for 65 nm technology node (CMOS5)
机译:
适用于65 nm技术节点(CMOS5)的高性能30 nm体CMOS
作者:
Morifuji E.
;
Kanda M.
;
Yanagiya N.
;
Matsuda S.
;
Inaba S.
;
Okano K.
;
Takahashi K.
;
Nishigori M.
;
Tsuno H.
;
Yamamoto T.
;
Hiyama K.
;
Takayanagi M.
;
Oyamatsu H.
;
Yamada S.
;
Noguchi T.
;
Kakumu M.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
CMOS integrated circuits;
rapid thermal annealing;
nitrogen;
nanoelectronics;
doping profiles;
integrated circuit technology;
high performance 30 nm bulk CMOS;
65 nm technology node;
gate oxide;
nitrided oxide;
abrupt N profile;
gate polysilicon;
sho;
95.
High performance cell technology featuring sub-100nm DRAM with multi-gigabit density
机译:
高性能单元技术,具有低于100nm的DRAM,具有数千兆位的密度
作者:
Byung-Chan Lee
;
Jong-Ryeol Yoo
;
Deok-Hyung Lee
;
Cheol-Sung Kim
;
In-Soo Jung
;
Siyoung Choi
;
U-In Chung
;
Joo-Tae Moon
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DRAM chips;
CMOS memory circuits;
integrated circuit metallisation;
chemical vapour deposition;
vapour phase epitaxial growth;
MOSFET;
contact resistance;
leakage currents;
chemical mechanical polishing;
fully metal embedded cell technologies;
sub-10;
96.
High performance copper and low-k interconnect technology fully compatible to 90nm-node SOC application (CMOS4)
机译:
高性能铜缆和低k互连技术,完全兼容90nm节点SOC应用(CMOS4)
作者:
Inohara M.
;
Tamura I.
;
Yamaguchi T.
;
Koike H.
;
Enomoto Y.
;
Arakawa S.
;
Watanabe T.
;
Ide E.
;
Kadomura S.
;
Sunouchi K.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
integrated circuit interconnections;
system-on-chip;
copper;
integrated circuit reliability;
CMOS digital integrated circuits;
integrated circuit packaging;
delays;
crosstalk;
electromigration;
DRAM chips;
integrated circuit manufacture;
low-k interc;
97.
High performance damascene gate CMOSFETs with recessed channel formed by plasma oxidation and etching method (RC-POEM)
机译:
通过等离子氧化和蚀刻方法(RC-POEM)形成具有凹沟道的高性能镶嵌栅极CMOSFET
作者:
Matsuo K.
;
Sekine K.
;
Saito T.
;
Nakajima K.
;
Suguro K.
;
Tsunashima Y.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
MOSFET;
oxidation;
sputter etching;
diffusion;
capacitance;
damascene gate CMOSFETs;
recessed channel;
plasma oxidation;
plasma etching method;
RC-POEM;
source/drain extension sheet resistance;
lateral diffusion;
extension region;
pseudo-raised exten;
98.
High speed InP-based HBTs and OEICs
机译:
高速基于InP的HBT和OEIC
作者:
Jackel H.
;
Hammer U.
;
Ruiz J.
;
Schnyder I.
;
Schwarz V.
;
Gaspar A.
;
Huber D.
;
Rohner A.
;
Huber A.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
heterojunction bipolar transistors;
bipolar MMIC;
integrated optoelectronics;
very high speed integrated circuits;
indium compounds;
III-V semiconductors;
optical fibre communication;
optical receivers;
circuit simulation;
HBTs;
OEICs;
ultra fast ICs;
99.
Highly manufacturable 90 nm DRAM technology
机译:
高度可制造的90 nm DRAM技术
作者:
Park Y.K.
;
Cho C.H.
;
Lee K.H.
;
Roh B.H.
;
Ahn Y.S.
;
Lee S.H.
;
Oh J.H.
;
Lee J.G.
;
Kwak D.H.
;
Shin S.H.
;
Bae J.S.
;
Kim S.B.
;
Lee J.K.
;
Lee J.Y.
;
Kim M.S.
;
Lee J.W.
;
Lee D.J.
;
Hong S.H.
;
Bae D.I.
;
Chun Y.S.
;
Park S.H.
;
Yun C.J.
;
Chung T.Y.
;
Kinam Kim
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
DRAM chips;
integrated circuit technology;
VLSI;
ultraviolet lithography;
nanotechnology;
leakage currents;
integrated circuit manufacture;
DRAM technology;
dynamic RAM;
ArF lithography;
resolution enhancement techniques;
STI process;
ILD process;
sp;
100.
Highly reliable MONOS devices with optimized silicon nitride film having deuterium terminated charge traps
机译:
高度可靠的MONOS器件,具有经过优化的氮化硅膜和氘化电荷陷阱
作者:
Tanaka M.
;
Saida S.
;
Mitani Y.
;
Mizushima I.
;
Tsunashima Y.
会议名称:
《Electron Devices Meeting, 2002. IEDM '02. Digest. International》
|
2002年
关键词:
silicon compounds;
dielectric thin films;
semiconductor device reliability;
annealing;
leakage currents;
integrated memory circuits;
MIS structures;
defect states;
optimized SiN films;
highly reliable MONOS devices;
D/sub 2/ terminated charge traps;
意见反馈
回到顶部
回到首页