掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Asia South Pacific design automation
Conference on Asia South Pacific design automation
召开年:
2004
召开地:
Yokohama(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Large-scale linear circuit simulation with an inversed inductance matrix
机译:
具有反电感矩阵的大规模线性电路仿真
作者:
Chieki Mizuta
;
Jiro Iwai
;
Ken Machida
;
Tetsuro Kage
;
Hiroo Masuda
;
PJiro Iwai
;
PHiroo Masuda
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
2.
DEPOGIT
机译:
灭绝
作者:
Atsushi Kurokawa
;
Nobuto Ono
;
Tetsuro Kage
;
Hiroo Masuda
;
PAtsushi Kurokawa
;
PNobuto Ono
;
PHiroo Masuda
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
3.
Register binding and port assignment for multiplexer optimization
机译:
寄存器绑定和端口分配,用于多路复用器优化
作者:
Deming Chen
;
Jason Cong
;
PDeming Chen
;
PJason Cong
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
4.
A thread partitioning algorithm in low power high-level synthesis
机译:
低功耗高级综合中的线程划分算法
作者:
Jumpei Uchida
;
Nozomu Togawa
;
Masao Yanagisawa
;
Tatsuo Ohtsuki
;
PJumpei Uchida
;
PNozomu Togawa
;
PMasao Yanagisawa
;
PTatsuo Ohtsuki
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
5.
Minimization of fractional wordlength on fixed-point conversion for high-level synthesis
机译:
用于高级综合的定点转换时的分数字长最小化
作者:
Nobuhiro Doi
;
Takashi Horiyama
;
Masaki Nakanishi
;
Shinji Kimura
;
PTakashi Horiyama
;
PMasaki Nakanishi
;
PShinji Kimura
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
6.
A procedure for obtaining a behavioral description for the control logic of a non-linear pipeline
机译:
一种获取非线性管线控制逻辑的行为描述的过程
作者:
Hashem Hashemi Najaf-Abadi
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
7.
TranGen
机译:
传世
作者:
Kai Yang
;
Kwang-Ting Cheng
;
Li-C. Wang
;
PKai Yang
;
PKwang-Ting Cheng
;
PLi-C. Wang
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
8.
Longest path selection for delay test under process variation
机译:
在过程变化下进行延迟测试的最长路径选择
作者:
Xiang Lu
;
Zhuo Li
;
Wangqi Qiu
;
D. M. H. Walker
;
Weiping Shi
;
PXiang Lu
;
PZhuo Li
;
PWangqi Qiu
;
PD. M. H. Walker
;
PWeiping Shi
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
9.
High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability
机译:
通过布尔可满足性为最小宽度的CMOS逻辑单元进行高速布局合成
作者:
Tetsuya Iizuka
;
Makoto Ikeda
;
Kunihiro Asada
;
PTetsuya Iizuka
;
PMakoto Ikeda
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
10.
NSGA-based parasitic-aware optimization of a 5GHz low-noise VCO
机译:
基于NSGA的5GHz低噪声VCO的寄生感知优化
作者:
Min Chu
;
David J. Allstot
;
Jeffrey M. Huard
;
Kim Y. Wong
;
PMin Chu
;
PDavid J. Allstot
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
11.
CrtSmile
机译:
CrtSmile
作者:
Zhao Li
;
Ravikanth Suravarapu
;
Roy Hartono
;
Sambuddha Bhattacharya
;
Karti Mayaram
;
Richard Shi
;
PZhao Li
;
PRichard Shi
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
12.
Analytical expressions for phase noise eigenfunctions of LC oscillators
机译:
LC振荡器的相位噪声本征函数的解析表达式
作者:
Praveen Ghanta
;
Zheng Li
;
Jaijeet Roychowdhury
;
PZheng Li
;
PJaijeet Roychowdhury
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
13.
A buffer planning algorithm with congestion optimization
机译:
具有拥塞优化的缓冲区规划算法
作者:
Song Chen
;
Xianlong Hong
;
Sheqin Dong
;
Yuchun Ma
;
Yici Cai
;
Chung-Kuan Cheng
;
Jun Gu
;
PSong Chen
;
PXianlong Hong
;
PSheqin Dong
;
PYuchun Ma
;
PYici Cai
;
PChung-Kuan Cheng
;
PJun Gu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
14.
Object-oriented modeling and synthesis of SystemC specifications
机译:
面向对象的建模和SystemC规范的综合
作者:
C. Schulz-Key
;
M. Winterholer
;
T. Schweizer
;
T. Kuhn
;
W. Rosenstiel
;
PM. Winterholer
;
PT. Schweizer
;
PT. Kuhn
;
PW. Rosenstiel
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
15.
Application of UML for hardware design based on design process model
机译:
UML在基于设计过程模型的硬件设计中的应用
作者:
Robertas Damasevicius
;
Vytautas Stuikys
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
16.
A cosynthesis algorithm for application specific processors with heterogeneous datapaths
机译:
具有异构数据路径的专用处理器的协综合算法
作者:
Yuichiro Miyaoka
;
Nozomu Togawa
;
Masao Yanagisawa
;
Tatsuo Ohtsuki
;
PYuichiro Miyaoka
;
PNozomu Togawa
;
PMasao Yanagisawa
;
PTatsuo Ohtsuki
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
17.
Toward stochastic design for digital circuits
机译:
面向数字电路的随机设计
作者:
Shuji Tsukiyama
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
18.
Physical CAD changes to incorporate design for lithography and manufacturability
机译:
更改物理CAD以纳入光刻和可制造性设计
作者:
Louis K. Scheffer
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
19.
Parametric reduced order modeling for interconnect analysis
机译:
用于互连分析的参数化降阶建模
作者:
Guoyong Shi
;
C.-J. Richard Shi
;
PC.-J. Richard Shi
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
20.
Realizable parasitic reduction for distributed interconnects using matrix pencil technique
机译:
使用矩阵笔技术实现分布式互连的寄生降低
作者:
Janet Wang
;
Prashant Saxena
;
Omar Hafiz
;
Xing Wang
;
PJanet Wang
;
PPrashant Saxena
;
PXing Wang
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
21.
SPICE compatible circuit models for partial reluctance K
机译:
SPICE兼容的电路模型,用于部分磁阻K
作者:
Hao Ji
;
Qingjian Yu
;
Wayne Dai
;
PWayne Dai
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
22.
Frequency-dependent reluctance extraction
机译:
频率相关磁阻提取
作者:
Clement Luk
;
Tsung-Hao Chen
;
Charlie C.-P. Chen
;
PClement Luk
;
PTsung-Hao Chen
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
23.
Preserving synchronizing sequences of sequential circuits after retiming
机译:
重定时后保留时序电路的同步序列
作者:
Maher N. Mneimneh
;
Karem A. Sakallah
;
John Moondanos
;
PMaher N. Mneimneh
;
PKarem A. Sakallah
;
PJohn Moondanos
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
24.
A fast method to derive minimum SOPs for decomposable functions
机译:
快速推导可分解函数的最小SOP的方法
作者:
Tsutomu Sasao
;
Jon T. Butler
;
PTsutomu Sasao
;
PJon T. Butler
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
25.
Efficient computation of canonical form for Boolean matching in large libraries
机译:
大型库中布尔匹配的规范形式的有效计算
作者:
Debatosh Debnath
;
Tsutomu Sasao
;
PTsutomu Sasao
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
关键词:
technology mapping;
26.
Complexity analysis and speedup techniques for optimal buffer insertion with minimum cost
机译:
复杂性分析和加速技术,以最低的成本实现最佳的缓冲区插入
作者:
Weiping Shi
;
Zhuo Li
;
Charles J. Alpert
;
PWeiping Shi
;
PZhuo Li
;
PCharles J. Alpert
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
27.
Verification of timed circuits with symbolic delays
机译:
验证具有符号延迟的定时电路
作者:
Robert Clariso
;
Jordi Cortadella
;
PJordi Cortadella
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
28.
Improved symbolic simulation by functional-space decomposition
机译:
通过功能空间分解改进符号仿真
作者:
Tao Feng
;
Li-C. Wang
;
Kwang-Ting Cheng
;
PTao Feng
;
PLi-C. Wang
;
PKwang-Ting Cheng
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
29.
Minimizing energy consumption of multiple-processors-core systems with simultaneous task allocation, scheduling and voltage assignment
机译:
同时执行任务分配,调度和电压分配,以最小化多处理器核心系统的能耗
作者:
Lap-Fai Leung
;
Chi-Ying Tsui
;
Wing-Hung Ki
;
PChi-Ying Tsui
;
PWing-Hung Ki
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
30.
Dynamic voltage scaling of periodic and aperiodic tasks in priority-driven systems
机译:
优先级驱动系统中周期性和非周期性任务的动态电压缩放
作者:
Dongkun Shin
;
Jihong Kim
;
PDongkun Shin
;
PJihong Kim
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
31.
Interconnect capacitance estimation for FPGAs
机译:
FPGA的互连电容估计
作者:
Jason H. Anderson
;
Farid N. Najm
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
32.
Area-minimal algorithm for LUT-based FPGA technology mapping with duplication-free restriction
机译:
基于LUT的具有无重复限制的FPGA技术映射的面积最小算法
作者:
Chi-Chou Kao
;
Yen-Tai Lai
;
PChi-Chou Kao
;
PYen-Tai Lai
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
33.
Model checking on state transition diagram
机译:
状态转移图的模型检查
作者:
Batsayan Das
;
Dipankar Sarkar
;
Santanu Chattopadhyay
;
PDipankar Sarkar
;
PSantanu Chattopadhyay
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
关键词:
State Transition Diagram (STD);
34.
Efficient reachability checking using sequential SAT
机译:
使用顺序SAT进行有效的可达性检查
作者:
G. Parthasarathy
;
M. K. Iyer
;
K.-T. Cheng
;
Li. C. Wang
;
PG. Parthasarathy
;
PM. K. Iyer
;
PK.-T. Cheng
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
35.
Exploiting state encoding for invariant generation in induction-based property checking
机译:
利用状态编码进行基于归纳的属性检查中的不变量生成
作者:
Markus Wedler
;
Dominik Stoffel
;
Wolfgang Kunz
;
PMarkus Wedler
;
PWolfgang Kunz
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
36.
Interconnect design methods for memory design
机译:
用于存储器设计的互连设计方法
作者:
Chanseok Hwang
;
Massoud Pedram
;
PChanseok Hwang
;
PMassoud Pedram
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
37.
2.5D system integration
机译:
2.5D系统集成
作者:
Yangdong (Steven) Deng
;
Wojciech Maly
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
关键词:
3D stacking, 3D-IC;
38.
Embedded software generation from system level design languages
机译:
从系统级设计语言生成嵌入式软件
作者:
Haobo Yu
;
Rainer Domer
;
Daniel Gajski
;
PHaobo Yu
;
PRainer Domer
;
PDaniel Gajski
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
39.
Fast and accurate timed execution of high level embedded software using HW/SW interface simulation model
机译:
使用HW / SW接口仿真模型快速准确地定时执行高级嵌入式软件
作者:
Aimen Bouchhima
;
Sungjoo Yoo
;
Ahmed Jeraya
;
PAimen Bouchhima
;
PSungjoo Yoo
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
40.
Efficient translation of boolean formulas to CNF in formal verification of microprocessors
机译:
在微处理器的形式验证中将布尔公式有效转换为CNF
作者:
Miroslav N. Velev
;
PMiroslav N. Velev
会议名称:
《》
|
2004年
41.
Using positive equality to prove liveness for pipelined microprocessors
机译:
使用正等式证明流水线微处理器的生命力
作者:
Miroslav N. Velev
;
PMiroslav N. Velev
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
42.
On deriving equivalent architecture model from system specification
机译:
从系统规范推导等效架构模型
作者:
Samar Abdi
;
Daniel Gajski
;
PSamar Abdi
;
PDaniel Gajski
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
43.
On compliance test of on-chip bus for SOC
机译:
关于SOC片上总线的一致性测试
作者:
Hue-Min Lin
;
Chia-Chih Yen
;
Che-Hua Shih
;
Jing-Yang Jou
;
PChe-Hua Shih
;
PJing-Yang Jou
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
44.
Using C based logic synthesis to bridge the productivity gap
机译:
使用基于C的逻辑综合弥合生产力差距
作者:
Chris Sullivan
;
Alex Wilson
;
Stephen Chappell
;
PChris Sullivan
;
PAlex Wilson
;
PStephen Chappell
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
45.
A place and route aware buffered Steiner tree construction
机译:
感知位置和路线的缓冲Steiner树
作者:
C. N. Sze
;
Jiang Hu
;
Charles J. Alpert
;
PC. N. Sze
;
PJiang Hu
;
PCharles J. Alpert
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
46.
An efficient routing tree construction algorithm with buffer insertion, wire sizing and obstacle considerations
机译:
一种有效的路由树构造算法,其中考虑了缓冲区插入,导线尺寸和障碍物的考虑
作者:
Sampath Dechu
;
Zion Cien Shen
;
Chris C. N. Chu
;
PSampath Dechu
;
PChris C. N. Chu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
47.
Modeling of coplanar waveguide for buffered clock tree
机译:
缓冲时钟树共面波导的建模
作者:
Jun Chen
;
Lei He
;
PJun Chen
;
PLei He
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
48.
Testable design of GRM network with EXOR-tree for detecting stuck-at and bridging faults
机译:
具有EXOR树的GRM网络可测试设计,用于检测卡住和桥接故障
作者:
Hafizur Rahaman
;
Debesh K. Das
;
Bhargab B. Bhattacharya
;
PHafizur Rahaman
;
PBhargab B. Bhattacharya
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
49.
A multiple level network approach for clock skew minimization with process variations
机译:
一种多级网络方法,可通过处理变化来最大程度地减少时钟偏斜
作者:
Makoto Mori
;
Hongyu Chen
;
Bo Yao
;
Chung-Kuan Cheng
;
PMakoto Mori
;
PHongyu Chen
;
PBo Yao
;
PChung-Kuan Cheng
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
50.
Piecewise quadratic waveform matching with successive chord iteration
机译:
分段二次波形与连续和弦迭代匹配
作者:
Zhong Wang
;
Jianwen Zhu
;
PZhong Wang
;
PJianwen Zhu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
51.
Effects of noise and nonlinearity on the calibration of a non-binary capacitor array in a successive approximation analog-to-digital converter
机译:
噪声和非线性对逐次逼近模数转换器中非二进制电容器阵列校准的影响
作者:
Jianhua Gan
;
Shouli Yan
;
Jacob Abraham
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
52.
Jitter spectral extraction for multi-gigahertz signal
机译:
多千兆赫信号的抖动频谱提取
作者:
Chee-Kian Ong
;
Dongwoo Hong
;
Kwang-Ting (Tim) Cheng
;
Li-C Wang
;
PChee-Kian Ong
;
PDongwoo Hong
;
PKwang-Ting (Tim) Cheng
;
PLi-C Wang
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
53.
Abstraction and optimization of consistent floorplanning with pillar block constraints
机译:
具有支柱限制的一致布局的抽象和优化
作者:
Ning FU
;
Shigetoshi Nakatake
;
Yasuhiro Takashima
;
Yoji Kajitani
;
PShigetoshi Nakatake
;
PYasuhiro Takashima
;
PYoji Kajitani
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
54.
Space-planning: placement of modules with controlled empty area by single-sequence
机译:
空间规划:通过单序列放置具有受控空白区域的模块
作者:
Xuliang Zhang
;
Yoji Kajitani
;
PYoji Kajitani
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
55.
Analog circuit behavioral modeling via wavelet collocation method with auto-companding
机译:
小波搭配自动压扩的模拟电路行为建模
作者:
Jian Wang
;
Jun Tao
;
Xuan Zeng
;
Charles Chiang
;
Dian Zhou
;
PJian Wang
;
PJun Tao
;
PXuan Zeng
;
PCharles Chiang
;
PDian Zhou
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
56.
High-level modeling of continuous--time ΔΣ A/D-converters using formal models
机译:
使用形式化模型对连续时间ΔΣA / D转换器进行高级建模
作者:
Ewout Martens
;
Georges Gielen
;
PGeorges Gielen
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
57.
On mismatch in the deep sub-micron era - from physics to circuits
机译:
关于深亚微米时代的失配-从物理学到电路
作者:
Rasit Onur Topaloglu
;
Alex Orailoglu
;
PRasit Onur Topaloglu
;
PAlex Orailoglu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
58.
SRAM delay fault modeling and test algorithm development
机译:
SRAM延迟故障建模和测试算法开发
作者:
Rei-Fu Huang
;
Yan-Ting Lai
;
Yung-Fa Chou
;
Cheng-Wen Wu
;
PRei-Fu Huang
;
PCheng-Wen Wu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
59.
Power control of CDMA systems with successive interference cancellation using the knowledge of battery power capacity
机译:
利用电池电量知识,对具有连续干扰消除功能的CDMA系统进行功率控制
作者:
Yan Wang
;
Chi-Ying Tsui
;
Roger S. Cheng
;
Wai Ho Mow
;
PYan Wang
;
PChi-Ying Tsui
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
60.
Rate analysis for streaming applications with on-chip buffer constraints
机译:
具有片上缓冲区限制的流式应用的速率分析
作者:
Alexander Maxiaguine
;
Simon Kunzli
;
Samarjit Chakraborty
;
Lothar Thiele
;
PAlexander Maxiaguine
;
PSamarjit Chakraborty
;
PLothar Thiele
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
61.
Performance-driven global placement via adaptive network characterization
机译:
通过自适应网络表征实现性能驱动的全球布局
作者:
Mongkol Ekpanyapong
;
Sung Kyu Lim
;
PMongkol Ekpanyapong
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
62.
Temperature-aware global placement
机译:
温度感知全局布局
作者:
Bernd Obermeier
;
Frank M. Johannes
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
63.
Timing optimization by replacing flip-flops to latches
机译:
通过将触发器替换为锁存器来优化时序
作者:
Ko Yoshikawa
;
Yasuhiko Hagihara
;
Keisuke Kanamaru
;
Yuichi Nakamura
;
Shigeto Inui
;
Takeshi Yoshimura
;
PKo Yoshikawa
;
PYuichi Nakamura
;
PTakeshi Yoshimura
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
64.
Enhancing the performance of multi-cycle path analysis in an industrial setting
机译:
在工业环境中增强多循环路径分析的性能
作者:
Hiroyuki Higuchi
;
Yusuke Matsunaga
;
PHiroyuki Higuchi
;
PYusuke Matsunaga
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
65.
Efficient RT-level fault diagnosis methodology
机译:
高效的RT级故障诊断方法
作者:
Ozgur Sinanoglu
;
Alex Orailoglu
;
POzgur Sinanoglu
;
PAlex Orailoglu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
66.
Design diagnosis using Boolean satisfiability
机译:
使用布尔可满足性进行设计诊断
作者:
Alexander Smith
;
Andreas Veneris
;
Anastasios Viglas
;
PAlexander Smith
;
PAndreas Veneris
;
PAnastasios Viglas
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
67.
Predictable design of low power systems by pre-implementation estimation and optimization
机译:
通过预先实现估计和优化来进行低功耗系统的可预测设计
作者:
Wolfgang Nebel
;
PWolfgang Nebel
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
68.
Minimization of the expected path length in BDDs based on local changes
机译:
根据本地更改最小化BDD中的预期路径长度
作者:
Rudiger Ebendt
;
Wolfgang Gunther
;
Rolf Drechsler
;
PRudiger Ebendt
;
PRolf Drechsler
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
69.
A novel memory size model for variable-mapping in system level design
机译:
用于系统级设计中的变量映射的新型内存大小模型
作者:
Lukai Cai
;
Haobo Yu
;
Daniel Gajski
;
PLukai Cai
;
PHaobo Yu
;
PDaniel Gajski
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
70.
A compressed frame buffer to reduce display power consumption in mobile systems
机译:
压缩帧缓冲器以减少移动系统中的显示功耗
作者:
Hojun Shim
;
Naehyuck Chang
;
Massoud Pedram
;
PHojun Shim
;
PNaehyuck Chang
;
PMassoud Pedram
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
71.
Instruction buffering exploration for low energy VLIWs with instruction clusters
机译:
具有指令集群的低能耗VLIW的指令缓冲探索
作者:
Tom Vander Aa
;
Murali Jayapala
;
Francisco Barat
;
Geert Deconinck
;
Rudy Lauwereins
;
Francky Catthoor
;
Henk Corporaal
;
PMurali Jayapala
;
PGeert Deconinck
;
PFrancky Catthoor
;
PHenk Corporaal
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
72.
Bandwidth tracing arbitration algorithm for mixed-clock SoC with dynamic priority adaptation
机译:
具有动态优先级自适应的混合时钟SoC的带宽跟踪仲裁算法
作者:
Young-Su Kwon
;
Jae-Gon Lee
;
Chong-Min Kyung
;
PYoung-Su Kwon
;
PChong-Min Kyung
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
73.
A non-iterative model for switching window computation with crosstalk noise
机译:
具有串扰噪声的切换窗口计算的非迭代模型
作者:
Janet Meiling Wang
;
Omar Hafiz
;
Pinhong Chen
;
PPinhong Chen
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
74.
Gate delay calculation considering the crosstalk capacitances
机译:
考虑串扰电容的栅极延迟计算
作者:
Soroush Abbaspour
;
Massoud Pedram
;
PSoroush Abbaspour
;
PMassoud Pedram
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
75.
Automatic process migration of datapath hard IP libraries
机译:
数据路径硬IP库的自动过程迁移
作者:
Fang Fang
;
Jianwen Zhu
;
PFang Fang
;
PJianwen Zhu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
76.
Priority assignment optimization for minimization of current surge in high performance power efficient clock-gated microprocessor
机译:
优化优先级分配,以最大程度地降低高性能节能时钟门控微处理器中的电流浪涌
作者:
Yiran Chen
;
Kaushik Roy
;
Cheng-Kok Koh
;
PYiran Chen
;
PKaushik Roy
;
PCheng-Kok Koh
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
77.
High-level area and power-up current estimation considering rich cell library
机译:
考虑丰富单元库的高面积和上电电流估计
作者:
Fei Li
;
Lei He
;
Joseph M. Basile
;
Rakesh J. Patel
;
Hema Ramamurthy
;
PFei Li
;
PLei He
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
78.
Temporal floorplanning using 3D-subTCG
机译:
使用3D-subTCG进行时间平面规划
作者:
Ping-Hung Yuh
;
Chia-Lin Yang
;
Yao-Wen Chang
;
Hsin-Lung Chen
;
PChia-Lin Yang
;
PYao-Wen Chang
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
79.
An SoC architecture and its design methodology using unifunctional heterogeneous processor array
机译:
使用单功能异构处理器阵列的SoC架构及其设计方法
作者:
Yoichi Yuyama
;
Masao Aramoto
;
Kazutoshi Kobayashi
;
Hidetoshi Onodera
;
PYoichi Yuyama
;
PMasao Aramoto
;
PKazutoshi Kobayashi
;
PHidetoshi Onodera
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
80.
Representative frequency for interconnect R(f)L(f)C extraction
机译:
互连R(f)L(f)C提取的代表频率
作者:
Akira Tsuchiya
;
Masanori Hashimoto
;
Hidetoshi Onodera
;
PAkira Tsuchiya
;
PMasanori Hashimoto
;
PHidetoshi Onodera
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
81.
Optimal planning for mesh-based power distribution
机译:
基于网格的配电的最佳规划
作者:
Hongyu Chen
;
Chung-Kuan Cheng
;
Andrew B. Kahng
;
Makoto Mori
;
Qinke Wang
;
PHongyu Chen
;
PChung-Kuan Cheng
;
PMakoto Mori
;
PQinke Wang
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
82.
Multiple specifications radio-frequency integrated circuit design with automatic template-driven layout retargeting
机译:
具有自动模板驱动布局重新定位功能的多规格射频集成电路设计
作者:
Nuttorn Jangkrajarng
;
Sambuddha Bhattacharya
;
Roy Hartono
;
C-J. Richard Shi
;
PC-J. Richard Shi
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
83.
Hierarchical extraction and verification of symmetry constraints for analog layout automation
机译:
用于模拟布局自动化的分层提取和对称约束的验证
作者:
Sambuddha Bhattacharya
;
Nuttorn Jangkrajarng
;
Roy Hartono
;
C-J. Richard Shi
;
PC-J. Richard Shi
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
84.
Multi-level placement with circuit schema based clustering in analog IC layouts
机译:
在模拟IC布局中采用基于电路模式的集群进行多级放置
作者:
Takashi Nojima
;
Xiaoke Zhu
;
Yasuhiro Takashima
;
Shigetoshi Nakatake
;
Yoji Kajitani
;
PTakashi Nojima
;
PYasuhiro Takashima
;
PShigetoshi Nakatake
;
PYoji Kajitani
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
85.
Design methodology for SoC arthitectures based on reusable virtual cores
机译:
基于可重用虚拟内核的SoC体系结构的设计方法
作者:
Michiaki Muraoka
;
Hiroaki Nishi
;
Rafael K. Morizawa
;
Hideaki Yokota
;
Hideyuki Hamada
;
PMichiaki Muraoka
;
PHiroaki Nishi
;
PRafael K. Morizawa
;
PHideaki Yokota
;
PHideyuki Hamada
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
86.
Decode filter cache for energy efficient instruction cache hierarchy in super scalar architectures
机译:
解码过滤器缓存,用于超标量架构中的节能指令缓存层次结构
作者:
Kugan Vivekanandarajah
;
Thambipillai Srikanthan
;
Saurav Bhattacharyya
;
PThambipillai Srikanthan
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
87.
Layer assignment for reliable system-on-package
机译:
层分配可实现可靠的系统级封装
作者:
Jacob R. Minz
;
Sung Kyu Lim
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
88.
An approach for reducing dynamic power consumption in synchronous sequential digital designs
机译:
降低同步顺序数字设计中动态功耗的方法
作者:
Noureddine Chabini
;
Wayne Wolf
;
PWayne Wolf
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
89.
A simplified transmission-line based crosstalk noise model for on-chip RLC wiring
机译:
片上RLC布线的简化的基于传输线的串扰噪声模型
作者:
Kanak Agarwal
;
Dennis Sylvester
;
David Blaauw
;
PDennis Sylvester
;
PDavid Blaauw
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
90.
Enabling on-chip diversity through architectural communication design
机译:
通过架构通信设计实现片上多样性
作者:
Tudor Dumitras
;
Sam Kerner
;
Radu Marculescu
;
PSam Kerner
;
PRadu Marculescu
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
91.
Toward mobile phone Linux
机译:
走向手机Linux
作者:
Yukikazu Nakamoto
;
PYukikazu Nakamoto
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
92.
RF design methodologies bridging system-IC-module design
机译:
架桥系统-IC模块设计的RF设计方法
作者:
Robert A. Mullen
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
93.
Fast, predictable and low energy memory references through architecture-aware compilation
机译:
通过具有体系结构意识的编译快速,可预测且低能耗的内存引用
作者:
Peter Marwedel
;
Lars Wehmeyer
;
Manish Verma
;
Stefan Steinke
;
Urs Helmig
;
PPeter Marwedel
;
PManish Verma
;
PStefan Steinke
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
94.
Instruction set and functional unit synthesis for SIMD processor cores
机译:
SIMD处理器内核的指令集和功能单元综合
作者:
Nozomu Togawa
;
Koichi Tachikake
;
Yuichiro Miyaoka
;
Masao Yanagisawa
;
Tatsuo Ohtsuki
;
PNozomu Togawa
;
PKoichi Tachikake
;
PYuichiro Miyaoka
;
PMasao Yanagisawa
;
PTatsuo Ohtsuki
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
95.
MOSFET modeling for RF-CMOS design
机译:
用于RF-CMOS设计的MOSFET建模
作者:
Mitiko Miura-Mattausch
;
PMitiko Miura-Mattausch
会议名称:
《Conference on Asia South Pacific design automation》
|
2004年
意见反馈
回到顶部
回到首页