掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International Reliability Physics Symposium
IEEE International Reliability Physics Symposium
召开年:
2010
召开地:
Garden Grove, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Announcement
机译:
公告
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
2.
Copyright
机译:
版权
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
3.
Preface
机译:
前言
作者:
Moore Tom
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
4.
The understanding of strain-induced device degradation in advanced MOSFETs with process-induced strain technology of 65nm node and beyond
机译:
通过65nm节点及更高的工艺诱导应变技术,了解高级MOSFET中的应变诱导器件退化
作者:
Lin M. H.
;
Hsieh E. R.
;
Chung Steve S.
;
Tsai C. H.
;
Liu P. W.
;
Lin Y. H.
;
Tsai C. T.
;
Ma G. H.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
MOSFET;
Random Telegraph Noise;
Strained-silicon;
5.
Method of deciding burn-in stress voltage in conceptual design phase
机译:
在概念设计阶段确定老化应力电压的方法
作者:
Seo Jae Yong
;
Park Noh Seok
;
Park Hyung-Jin
;
Park Hong Sik
;
Kim Woo Sup
;
Lim Se Young
;
Kim Hyun
;
Cha Nam Hyun
;
Kang Ju Seong
;
So Byung Se
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
6.
Device-level reliability simulation for high temperature applications of a modular CMOS foundry process
机译:
用于模块化CMOS铸造工艺高温应用的设备级可靠性仿真
作者:
Ackermann Markus
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
7.
NAND Flash reliability degradation induced by HCI in boosted channel potential
机译:
HCI在提升的通道电势中导致NAND闪存可靠性下降
作者:
Park Milim
;
Park Sukkwang
;
Cho Seokwon
;
Lee Dong-Kyu
;
Jeong YeonJoo
;
Hong Chonga
;
Lee Ho Seok
;
Cho Myoung Kwan
;
Ahn Kun-Ok
;
Koh Yohwan
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Channel potential;
Hot carrier;
NAND Flash;
8.
Bias temperature instability of binary oxide based ReRAM
机译:
基于二元氧化物的ReRAM的偏置温度不稳定性
作者:
Fang Z.
;
Yu H.Y.
;
Liu W. J.
;
Pey K.L.
;
Li X.
;
Wu L.
;
Wang Z.R.
;
Lo Patrick G.Q.
;
Gao B.
;
Kang J. F.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Bias temperature instability;
Resistance random access memory;
9.
Reliability of electronic equipment exposed to chlorine dioxide used for biological decontamination
机译:
暴露于用于生物净化的二氧化氯的电子设备的可靠性
作者:
Derkits G. E.
;
Mandich M. L.
;
Reents W. D.
;
Franey J. P.
;
Xu C.
;
Fleming D.
;
Kopf R.
;
Ryan S.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Decontamination;
chlorine dioxide;
diagnostic software;
personal computer;
reliability;
10.
Using a Smart Grid to evolve a reliable power system
机译:
使用智能电网发展可靠的电力系统
作者:
Gellings Clark
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
11.
Effect of strain on Negative Bias Temperature Instability of Germanium p-channel Field-Effect Transistor with high-к gate dielectric
机译:
应变对高k栅介电常数锗p沟道场效应晶体管负偏置温度不稳定性的影响
作者:
Liu Bin
;
Lim Phyllis Shi Ya
;
Yeo Yee-Chia
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Ge;
NBTI;
high-к;
p-FET;
strain;
wafer bending;
12.
Analysis of statistical variation in NBTI degradation of HfO
2
/SiO
2
FETs
机译:
HfO
2 inf> / SiO
2 inf> FET的NBTI降解的统计变化分析
作者:
Yoshimoto H.
;
Hisamoto D.
;
Shimamoto Y.
;
Tsuchiya R.
;
Yanagi I.
;
Arigane T.
;
Torii K.
;
Funayama K.
;
Hashimoto T.
;
Makiyama H.
;
Horita K.
;
Iwamatsu T.
;
Shiga K.
;
Mizutani M.
;
Inoue M.
;
Kaneoka T.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
HfOinf2/inf;
NBTI;
Ron6;
D mode;
hydrogen;
variation;
13.
Effect of pre-existing void in sub-30nm Cu interconnect reliability
机译:
30nm以下铜互连可靠性中预先存在的空隙的影响
作者:
Choi Zungsun
;
Tsukasa Matsuda
;
Lee Jong Myeong
;
Choi Gil-Heyun
;
Choi Siyoung
;
Moon Joo-Tae
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
copper;
electromigration;
reliability;
void;
14.
Lifetime extrapolation for Electromigration tests at wafer level with a dedicated device
机译:
使用专用设备进行晶圆级电迁移测试的终生推断
作者:
Chappaz C.
;
Nakkala P.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
coil;
electromigration;
lifetime extrapolation;
self heating;
wafer level;
15.
Frequency-dependent charge-pumping: The depth question revisited
机译:
随频率变化的电荷泵:重新探讨深度问题
作者:
Zhang F.
;
Cheung K. P.
;
Campbell J.P.
;
Suehle J.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
frequency dependent charge pumping;
16.
Analysis of the breakdown spots spatial distribution in large area MOS structures
机译:
大面积MOS结构击穿点空间分布分析
作者:
Miranda E.
;
OConnor E.
;
Hurley P.K.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
MOS;
breakdown;
high-κ;
reliability;
17.
Non-destructive current-ramp dielectric breakdown (IRDB) for fast BEOL reliability monitoring
机译:
无损电流斜坡电介质击穿(IRDB),用于快速BEOL可靠性监控
作者:
Yiang Kok-Yong
;
Francis Rick
;
Marathe Amit
;
Aubel Oliver
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Dielectric Breakdown;
IRDB;
VRDB;
18.
Scaling trends of neutron effects in MLC NAND Flash memories
机译:
MLC NAND闪存中中子效应的缩放趋势
作者:
Gerardin S.
;
Bagatin M.
;
Paccagnella A.
;
Cellere G.
;
Visconti A.
;
Beltrami S.
;
Andreani C.
;
Gorini G.
;
Frost C.D.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Atmospheric Neutrons;
Error Correction Codes;
Floating-gate Cells;
Soft Errors;
19.
Soft errors from neutron and proton-induced multiple-node events
机译:
中子和质子诱发的多节点事件引起的软错误
作者:
Cannon Ethan H.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
cosmic ray neutrons;
protons;
single event upsets;
soft errors;
20.
Applicability of dual layer metal nanocrystal flash memory for NAND 2 or 3-bit/cell operation: Understanding the anomalous breakdown and optimization of P/E conditions
机译:
双层金属纳米晶体闪存对NAND 2或3位/单元操作的适用性:了解异常击穿和P / E条件的优化
作者:
Singh Pawan
;
Sandhya C
;
Auluck Kshitij
;
Bisht Gaurav
;
Sivatheja M
;
Mukhopadhyay Gautam
;
Mahapatra Souvik
;
Hofmann Ralf
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Flash memory;
MLC;
Metal nanocrystal;
component;
reliability;
21.
Pattern-independent, fine-morphology Ni-Pt silicide formation by partial conversion with low metal-consumption ratio
机译:
通过低金属消耗率的部分转化形成与图案无关的精细形貌的Ni-Pt硅化物
作者:
Futase Takuya
;
Kamino Takeshi
;
Hashikawa Naoto
;
Inaba Yutaka
;
Fujiwara Tetsuo
;
Yamamoto Hirohiko
;
Tanimoto Hisanori
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Ni-Pt;
crystal size;
diffusion;
metal-consumption ratio;
partial conversion;
rapid thermal annealing;
resistivity;
silicide;
22.
Modeling of stress evolution of electroplated Cu films during self-annealing
机译:
自退火过程中电镀铜膜应力演化的建模
作者:
Huang Rui
;
Robl Werner
;
Detzel Thomas
;
Ceric Hajdin
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Cu films;
modeling;
self-annealing;
stress;
23.
Characterization of high-k/metal gate stack breakdown in the time scale of ESD events
机译:
在ESD事件的时间尺度内表征高k /金属栅堆叠击穿
作者:
Yang Yang
;
Di Sarro James
;
Gauthier Robert J.
;
Chatty Kiran
;
Li Junjun
;
Mishra Rahul
;
Mitra Souvick
;
Ioannou Dimitris E.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
electrostatic discharge (ESD);
gate oxide breakdown;
high-k dielectrics;
metal gate;
transmission line pulse (TLP);
24.
A compact analytic model for the breakdown distribution of gate stack dielectrics
机译:
栅堆叠电介质击穿分布的紧凑解析模型
作者:
Tous Santi
;
Wu Ernest Y.
;
Sune Jordi
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
25.
Practicality of evaluating soft errors in commercial sub-90 nm CMOS for space applications
机译:
评估用于太空应用的商用90 nm以下CMOS中的软错误的实用性
作者:
Pellish Jonathan A.
;
LaBel Kenneth A.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
CMOS;
heavy ion;
memory;
proton;
soft errors;
space environment;
26.
Random telegraph signal noise in phase change memory devices
机译:
相变存储设备中的随机电报信号噪声
作者:
Fugazza Davide
;
Ielmini Daniele
;
Lavizzari Simone
;
Lacaita Andrea L.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Meyer-Neldel law;
non-volatile memory;
phase change memory (PCM);
random resistance network (RRN);
random telegraph noise;
27.
Assessing the degradation mechanisms and current limitation design rules of SiCr-based thin-film resistors in integrated circuits
机译:
评估集成电路中基于SiCr的薄膜电阻器的退化机理和电流限制设计规则
作者:
Li Yuan
;
Donnet David
;
Grzegorczyk Andrzej
;
Cavelaars Jan
;
Kuper Fred
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Joule heating;
SiCr;
design rule;
electromigration;
integrated resistor;
28.
Role of holes and electrons during erase of TANOS memories: Evidences for dipole formation and its impact on reliability
机译:
空穴和电子在TANOS存储器擦除过程中的作用:偶极形成的证据及其对可靠性的影响
作者:
Vandelli Luca
;
Padovani Andrea
;
Larcher Luca
;
Arreghini Antonio
;
Van den bosch Geert
;
Jurczak Malgorzata
;
Van Houdt Jan
;
Marca Vincenzo Della
;
Pavan Paolo
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
TANOS erase;
TANOS memories;
charge dipole;
charge separation;
chargetrapping devices;
nitride;
29.
Effective thermal characteristics to suppress joule heating impacts on electromigration in Cu/low-k interconnects
机译:
有效的热特性,以抑制焦耳热对Cu / low-k互连中的电迁移的影响
作者:
Yokogawa S.
;
Tsuchiya H.
;
Kakuhara Y.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Critical product;
Electromigration;
Joule heating;
Thermal conduction;
Transient thermal response;
30.
New electromigration validation: Via Node Vector Method
机译:
新的电迁移验证:通过节点向量法
作者:
Park Young-Joon
;
Jain Palkesh
;
Krishnan Srikanth
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
circuit electromigration;
electromigration;
electromigration check;
electromigration interaction;
31.
Electromigration mechanisms in Cu nano-wires
机译:
铜纳米线中的电迁移机理
作者:
Lin M. H.
;
Lee S. C.
;
Oates A. S.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
32.
Adaptive sensing and design for reliability
机译:
自适应感应和可靠性设计
作者:
Singh P.
;
Sylvester D.
;
Blaauw D.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
oxide degradation;
reliability;
sensors;
33.
Chip-level reliability study of barrier engineered (BE) floating gate (FG) Flash memory devices
机译:
势垒工程(BE)浮栅(FG)闪存设备的芯片级可靠性研究
作者:
Lue Hang-Ting
;
Pan JiFong
;
Chang C.S.
;
Wang Szu-Yu
;
Chang Y.F.
;
Lee Y. C.
;
Liaw M. H.
;
Chen Y. J.
;
Chen K. F.
;
Lo Chester
;
Huang I. J.
;
Han T. T.
;
Chen M.S.
;
Lu W. P.
;
Yang T.
;
Chen K. C.
;
Hsieh Kuang-Yeu
;
Lu Chih-Yuan
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Floating gate;
Modeling;
Reliability;
Tunneling;
barrier engineer (BE);
charge-trapping memory;
component;
34.
Trade-off between data retention and reset in NiO RRAMS
机译:
NiO RRAMS中数据保留与重置之间的权衡
作者:
Ielmini D.
;
Nardi F.
;
Cagli C.
;
Lacaita A. L.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Resistive-switching memory (RRAM);
non-volatile memory;
reliability estimation;
reliability modeling;
35.
Investigation of the threshold voltage instability after distributed cycling in nanoscale NAND Flash memory arrays
机译:
纳米级NAND闪存阵列中分布式循环后阈值电压不稳定性的研究
作者:
Compagnoni Christian Monzio
;
Miccoli Carmine
;
Mottadelli Riccardo
;
Beltrami Silvia
;
Ghidotti Michele
;
Lacaita Andrea L.
;
Spinelli Alessandro S.
;
Visconti Angelo
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Flash memories;
program/erase cycling;
semiconductor device modeling;
semiconductor device reliability;
36.
EM and SM induced degradation dynamics in copper interconnects studied using electron microscopy and X-ray microscopy
机译:
使用电子显微镜和X射线显微镜研究EM和SM诱导的铜互连中的降解动力学
作者:
Zschech Ehrenfried
;
Hubner Rene
;
Aubel Oliver
;
Ho Paul S.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
degradation mechanism;
electromigration;
microscopy;
stress migration;
stress-induced voiding;
37.
Study of leakage mechanism and trap density in porous low-k materials
机译:
多孔低k材料的泄漏机理和阱密度研究
作者:
Gischia Gianni Giai
;
Croes Kristof
;
Groeseneken Guido
;
Tokei Zsolt
;
Afanasev Valery
;
Zhao Larry
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
conduction mechanism;
leakage current;
low-k;
trap density;
38.
Degradation of III–V inversion-type enhancement-mode MOSFETs
机译:
III–V反相型增强型MOSFET的性能下降
作者:
Wrachien N.
;
Cester A.
;
Zanoni E.
;
Meneghesso G.
;
Wu Y.Q.
;
Ye P.D.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
III–V MOSFET;
reliability;
stress;
39.
Comparison of two calibration methods for a package stress measurement testchip
机译:
封装应力测量测试芯片的两种校准方法的比较
作者:
Djelassi Christian
;
Kock Helmut
;
Glavanovics Michael
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
CMOS stress sensor;
beam bending calibration;
package stress;
silicon stress measurement;
40.
Mobile and stable hydrogen species in the interface layer between poly silicon and gate oxynitride
机译:
多晶硅和栅极氧氮化物之间的界面层中的活动且稳定的氢物种
作者:
Liu Ziyuan
;
Ito Shuu
;
Hiroshima Shoichi
;
Koyama Shin
;
Makabe Mariko
;
Wilde Markus
;
Fukutani Katsuyuki
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
41.
Non-uniform threshold voltage and non-saturating drain current in amorphous-Si TFT after saturation-mode bias temperature stress
机译:
饱和模式偏置温度应力后非晶硅TFT的阈值电压和漏极晶体管的饱和电流不均匀
作者:
Wie C. R.
;
Tang Z.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Bias-temperature stress;
Non-uniform Threshold voltage shift;
Nonsaturating drain current;
Self-heating effect;
Short-channel;
a-Si∶H TFT;
42.
Reliability aspects of organic light emitting diodes
机译:
有机发光二极管的可靠性方面
作者:
Riedl Thomas
;
Winkler Thomas
;
Schmidt Hans
;
Meyer Jens
;
Schneidenbach Daniel
;
Johannes Hans-Hermann
;
Kowalsky Wolfgang
;
Weimann Thomas
;
Hinze Peter
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
atomic layer deposition;
encapsulation;
nanolaminate;
organic light emitting diodes;
top-emitter;
43.
Re-consideration of influence of silicon wafer surface orientation on gate oxide reliability from TDDB statistics point of view
机译:
从TDDB统计角度重新考虑硅晶片表面取向对栅极氧化物可靠性的影响
作者:
Mitani Yuichiro
;
Toriumi Akira
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Gate Oxide;
Reliability;
TDDB;
Wafer Surface Orientation;
Weibull distribution;
44.
X-ray computed tomography for non-destructive failure analysis in microelectronics
机译:
X射线计算机断层摄影术,用于微电子学中的非破坏性失效分析
作者:
Pacheco Mario
;
Goyal Deepak
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
45.
Evolving MEMS qualification requirements
机译:
不断发展的MEMS认证要求
作者:
Olney Andrew
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
FMEA;
IC;
MEMS;
qualification;
reliability;
46.
Modeling the lifetime of a lateral DMOS transistor in repetitive clamping mode
机译:
模拟横向DMOS晶体管在重复钳位模式下的寿命
作者:
Riedlberger E.
;
Keller R.
;
Reisinger H.
;
Gustin W.
;
Spitzer A.
;
Stecher M.
;
Jungemann C.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
LDMOS;
clamping;
hot carrier degradation;
hot carrier stress;
inductance;
inductive load;
model;
switching;
47.
Reliability assessment in different HTO test conditions of AlGaN/GaN HEMTs
机译:
AlGaN / GaN HEMT在不同HTO测试条件下的可靠性评估
作者:
Malbert N.
;
Labat N.
;
Curutchet A.
;
Sury C.
;
Hoel V.
;
de Jaeger J.-C.
;
Defrance N.
;
Douvry Y.
;
Dua C.
;
Oualli M.
;
Piazza M.
;
Bru-Chevallier C.
;
Bluet J.-M.
;
Chikhaoui W.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
AlGaN/GaN HEMT;
DLTS;
HTO Life test;
LF noise;
Reliability;
48.
Mature processability and manufacturability by characterizing V
T
and V
min
behaviors induced by NBTI and AHTOL test
机译:
通过表征NBTI和AHTOL测试引起的V
T inf>和V
min inf>行为,表征成熟的可加工性和可制造性
作者:
Park Jongwoo
;
Ha Sungmok
;
Lim Sunme
;
Yoo Jae-Yoon
;
Park Junkyun
;
Bae Kidan
;
Kim Gunrae
;
Kim Min
;
Kim Yongshik
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
49.
Understanding noise measurements in MOSFETs: the role of traps structural relaxation
机译:
了解MOSFET中的噪声测量:陷阱结构弛豫的作用
作者:
Veksler D.
;
Bersuker G.
;
Rumyantsev S.
;
Shur M.
;
Park H.
;
Young C.
;
Lim K. Y.
;
Taylor W.
;
Jammy R.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Electrical noise;
MOSFET characterization;
configurational relaxation of traps;
random telegraph signal;
50.
Recovery-free electron spin resonance observations of NBTI degradation
机译:
NBTI降解的无回收电子自旋共振观察
作者:
Ryan J.T.
;
Lenahan P.M.
;
Grasser T.
;
Enichlmair H.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
E' centers;
electron spin resonance;
negative bias temperature instability;
on the fly;
51.
Origin of NBTI variability in deeply scaled pFETs
机译:
深度扩展的pFET中NBTI变异性的起源
作者:
Kaczer B.
;
Grasser T.
;
Roussel Ph. J.
;
Franco J.
;
Degraeve R.
;
Ragnarsson L.-A.
;
Simoen E.
;
Groeseneken G.
;
Reisinger H.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Negative Bias Temperature Instability;
Random Dopant Fluctuations;
Random Telegraph Noise;
pFET;
variability;
52.
Analysis of the impact of linewidth variation on low-k dielectric breakdown
机译:
线宽变化对低k介电击穿的影响分析
作者:
Bashir Muhammad M.
;
Milor Linda
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
53.
Electromigration and stress-induced-voiding in dual damascene Cu/low-k interconnects: a complex balance between vacancy and stress gradients
机译:
双镶嵌铜/低k互连中的电迁移和应力诱导的空隙:空位和应力梯度之间的复杂平衡
作者:
Croes K.
;
Wilson C.J.
;
Lofrano M.
;
Vereecke B.
;
Beyer G.P.
;
Tokei Zs.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
BEOL;
FEM;
copper;
electromigration;
stress;
stress-induced-voiding;
vacancy;
54.
Comprehensive investigations of CoWP metal-cap impacts on low-k TDDB for 32nm technology application
机译:
CoWP金属电容对32nm技术应用对低k TDDB的影响的综合研究
作者:
Chen F.
;
Shinosky M.
;
Li B.
;
Christiansen C.
;
Lee T.
;
Aitken J.
;
Badami D.
;
Huang E.
;
Bonilla G.
;
Ko T.-M.
;
Kane T.
;
Wang Y.
;
Zaitz M.
;
Nicholson L.
;
Angyal M.
;
Truong C.
;
Chen X.
;
Yang G.
;
Law S. B.
;
Tang T. J.
;
Petitdidier S.
;
Ribes G.
;
Oh M.
;
Child C.
;
Sawada H.
;
Kolics A.
;
Rigoutat O.
;
Gilbert N.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
CoWP metal-cap;
EM;
SM;
TDDB;
leakage;
low-k defect density;
low-k reliability;
time-dependent dielectric breakdown;
55.
Photovoltaic (PV) cells characterization using advanced optical tools
机译:
使用先进的光学工具表征光伏(PV)电池
作者:
Stellari Franco
;
Steen Steven E.
;
Fisher Kathryn C.
;
Shao Xiaoyan
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Electro-Luminescence;
Laser Beam Induced Current (LBIC);
Optical Beam Induced Resistance Change (OBIRCH);
Photovoltaic;
56.
A failure levels study of non-snapback ESD devices for automotive applications
机译:
汽车应用非回弹ESD设备的故障等级研究
作者:
Cao Yiqun
;
Glaser Ulrich
;
Frei Stephan
;
Stecher Matthias
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
DMOS;
SOA;
SPICE;
TCAD;
TLP;
bigFET;
failure levels;
non-snapback;
on-chip ESD;
pn-diode;
57.
Understanding transient latchup hazards and the impact of guard rings
机译:
了解瞬态闩锁危险和保护环的影响
作者:
Farbiz Farzan
;
Rosenbaum Elyse
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Guard rings;
Latchup;
58.
Light, bias, and temperature effects on organic TFTs
机译:
光,偏压和温度对有机TFT的影响
作者:
Wrachien N.
;
Cester A.
;
Bellaio N.
;
Pinato A.
;
Meneghini M.
;
Tazzoli A.
;
Meneghesso G.
;
Myny K.
;
Smout S.
;
Genoe J.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Organic thin film transistors;
TFT;
charge trapping;
organic electronics;
reliability;
traps;
59.
Effects of negative differential resistance in high power devices and some relations to DMOS structures
机译:
大功率器件中负差分电阻的影响及其与DMOS结构的关系
作者:
Baburske Roman
;
Lutz Josef
;
Heinze Birk
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
60.
Mobility enhancement due to charge trapping on6; defect generation: Physics of self-compensated BTI
机译:
由于电荷的捕获而提高了迁移率6;缺陷产生:自补偿BTI的物理学
作者:
Ehteshamul Islam Ahmad
;
Ashraful Alam Muhammad
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Bias Temperature Instability;
SRAM cell;
degradation;
digital circuit;
drain current;
effective mobility;
inverter;
lifetime;
self-compensation;
strain;
threshold voltage;
transconductance;
61.
New statistical model to decode the reliability and weibull slope of high-κ and interfacial layer in a dual layer dielectric stack
机译:
新的统计模型可解码双层介电堆栈中高κ和界面层的可靠性和威布尔斜率
作者:
Raghavan N.
;
Pey K.L.
;
Liu W.H.
;
Li X.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Cumulative damage model;
Grain boundary;
Interfacial layer;
Poole-Frenkel emission;
Time dependent dielectric breakdown (TDDB);
Weibull slope;
62.
Reliability of Ferroelectric Random Access memory embedded within 130nm CMOS
机译:
嵌入130nm CMOS的铁电随机存取存储器的可靠性
作者:
Rodriguez J.
;
Remack K.
;
Gertas J.
;
Wang L.
;
Zhou C.
;
Boku K.
;
Rodriguez-Latorre J.
;
Udayakumar K. R.
;
Summerfelt S.
;
Moise T.
;
Kim D.
;
Groat J.
;
Eliason J.
;
Depner M.
;
Chu F.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
cycling endurance;
data retention;
embedded memory;
ferroelectric memory reliability;
high-temperature operating life;
sof-error rate;
63.
Predictive simulation of CDM events to study effects of package, substrate resistivity and placement of ESD protection circuits on reliability of integrated circuits
机译:
CDM事件的预测模拟,以研究封装,基板电阻率和ESD保护电路的放置对集成电路可靠性的影响
作者:
Shukla Vrashank
;
Jack Nathan
;
Rosenbaum Elyse
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
CDM;
Internal I/O;
Simulation;
64.
A novel low cost failure analysis technique for dielectric charging phenomenon in electrostatically actuated MEMS devices
机译:
静电驱动MEMS器件中介电充电现象的新型低成本失效分析技术
作者:
Zaghloul U.
;
Coccetti F.
;
Papaioannou G.J.
;
Pons P.
;
Plana R.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Kelvin Probe Force Microscopy;
dielectric charging;
electrostatic MEMS;
failure analysis;
silicon nitride;
65.
The statistical analysis of individual defects constituting NBTI and its implications for modeling DC- and AC-stress
机译:
构成NBTI的单个缺陷的统计分析及其对DC和AC应力建模的意义
作者:
Reisinger Hans
;
Grasser Tibor
;
Gustin Wolfgang
;
Schlunder Christian
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
AC-stress;
NBTI;
random telegraph noise;
recovery;
66.
Managing SRAM reliability from bitcell to library level
机译:
管理从位单元到库级别的SRAM可靠性
作者:
Huard Vincent
;
Chevallier Remy
;
Parthasarathy Chittoor
;
Mishra Anand
;
Ruiz-Amador Natalia
;
Persin Flore
;
Robert Vincent
;
Chimeno Alejandro
;
Pion Emmanuel
;
Planes Nicolas
;
Ney David
;
Cacho Florian
;
Kapoor Neeraj
;
Kulshrestha Vishal
;
Chopra Sanjeev
;
Vialle Nicolas
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Design;
HCI;
Library;
NBTI;
PBTI;
SRAM;
VinfMIN/inf;
67.
Buckling, wrinkling and debonding in thin film systems
机译:
薄膜系统中的屈曲,起皱和剥离
作者:
Goyal S.
;
Srinivasan K.
;
Subbarayan G.
;
Siegmund T.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
buckling;
debond;
energy minimization;
thin film;
wrinkling;
68.
New insight into the TDDB and breakdown reliability of novel high-к gate dielectric stacks
机译:
新型高k栅极介质堆叠的TDDB和击穿可靠性的新见解
作者:
Pey K.L.
;
Raghavan N.
;
Li X.
;
Liu W.H.
;
Shubhakar K.
;
Wu X.
;
Bosman M.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Breakdown recovery;
Grain boundary;
High-к dielectric;
Interfacial layer;
Metal gate;
Post breakdown;
Random telegraph noise (RTN);
Time dependent dielectric breakdown (TDDB);
69.
LEAP: Layout Design through Error-Aware Transistor Positioning for soft-error resilient sequential cell design
机译:
LEAP:通过错误感知晶体管定位进行布局设计,实现软错误弹性顺序单元设计
作者:
Hsiao-Heng Kelin Lee
;
Klas Lilja
;
Mounaim Bounasser
;
Prasanthi Relangi
;
Linscott Ivan R.
;
Inan Umran S.
;
Subhasish Mitra
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
LEAP;
dual interlocked storage cell (DICE);
flip-flop;
layout;
multiple bit upset (MBU) latch;
proton irradiation;
single event multiple upset (SEMU);
single event upset (SEU);
soft error;
70.
On the radiation-induced soft error performance of hardened sequential elements in advanced bulk CMOS technologies
机译:
先进的块状CMOS技术中硬化顺序元素的辐射诱发的软错误性能
作者:
Seifert N.
;
Ambrose V.
;
Gill B.
;
Shi Q.
;
Allmon R.
;
Recchia C.
;
Mukherjee S.
;
Nassif N.
;
Krause J.
;
Pickholtz J.
;
Balasubramanian A.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Alpha particle;
Neutron;
SE;
SEE;
hardened;
heavy ion;
mitigation;
proton;
single event effects;
soft errors;
space;
terrestrial;
71.
Off state incorporation into the 3 energy mode device lifetime modeling for advanced 40nm CMOS node
机译:
处于关闭状态的3能量模式设备寿命建模适用于高级40nm CMOS节点
作者:
Bravaix A.
;
Guerin C.
;
Goguenheim D.
;
Huard V.
;
Roy D.
;
Besset C.
;
Renard S.
;
Randriamihaja Y. Mamy
;
Vincent E.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Band to Band Tunneling;
Cold Carriers;
Gate-Induced Drain Leakage;
High Temperature;
Hot Carriers;
Interface traps;
Multi Vibrational Excitation;
Off Mode;
Oxide traps;
72.
Two independent components modeling for Negative Bias Temperature Instability
机译:
负偏置温度不稳定性的两个独立组件建模
作者:
Huard Vincent
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
73.
The time dependent defect spectroscopy (TDDS) for the characterization of the bias temperature instability
机译:
随时间变化的缺陷光谱(TDDS)用于表征偏置温度的不稳定性
作者:
Grasser T.
;
Reisinger H.
;
Wagner P.-J.
;
Schanovsky F.
;
Goes W.
;
Kaczer B.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
74.
Soft error assessments for servers
机译:
服务器的软错误评估
作者:
Muller K Paul
;
Sanda Pia N.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
SER protection;
cross-layer optimization;
derating;
server;
soft error rates;
system assessments;
75.
Impact of charge trapping on the voltage acceleration of TDDB in metal gate/high-k n-channel MOSFETs
机译:
电荷俘获对金属栅/高k n沟道MOSFET中TDDB电压加速的影响
作者:
Kerber A.
;
Vayshenker A.
;
Lipp D.
;
Nigam T.
;
Cartier E.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
SILC;
TDDB;
high-k dielectrics;
metal gate;
oxygen vacancies;
76.
Interpretation of PBTI/ TDDB predicted lifetime based on trap characterization by TSCIS in V
th
-adjusted transistors
机译:
基于TSCIS第V
inf>调节晶体管的陷阱表征,对PBTI / TDDB预测寿命的解释
作者:
Sahhaf S.
;
Degraeve R.
;
Srividya V.
;
Cho M.
;
Kauerauf T.
;
Groeseneken G.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
As and Ar implantation;
PBTI;
TDDB;
initial Vinfth/inf;
trap density;
77.
A multi-probe correlated bulk defect characterization scheme for ultra-thin high-к dielectric
机译:
超薄高k介质的多探针关联体缺陷表征方案
作者:
Masuduzzaman M.
;
Islam A.E.
;
Alam M.A.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Bulk trap;
Correlated probing;
Random Telegraph Noise;
Stress Induced Leakage Current;
charge pumping;
high-к dielectric;
trap profiling;
78.
HCI and NBTI including the effect of back-biasing in thin-BOX FD-SOI CMOSFETs
机译:
HCI和NBTI包括薄盒FD-SOI CMOSFET中的反向偏置效应
作者:
Ishigaki T.
;
Tsuchiya R.
;
Morita Y.
;
Yoshimoto H.
;
Sugii N.
;
Kimura S.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
FD-SOI;
HCI;
NBTI;
back-bias;
thin-BOX;
79.
Evaluation of self-heating and hot carrier degradation of poly-Si thin-film transistors using charge pumping technique
机译:
利用电荷泵技术评估多晶硅薄膜晶体管的自热和热载流子退化
作者:
Lu Xiaowei
;
Wang Mingxiang
;
Sun Kai
;
Lu Lei
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
charge pumping;
hot carrier;
poly-Si TFTs;
self-heating;
80.
Thermal neutron soft error rate for SRAMS in the 90NM–45NM technology range
机译:
SRAMS在90NM–45NM技术范围内的热中子软错误率
作者:
Wen ShiJie
;
Wong Richard
;
Romain Michael
;
Tam Nelson
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
SRAM;
Thermal neutron;
soft error rate;
81.
Interface-trap modeling for silicon-nanowire MOSFETs
机译:
硅纳米线MOSFET的接口陷阱建模
作者:
Chen Zuhui
;
Zhou Xing
;
Zhu Guojun
;
Lin Shihuan
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
SiNW MOSFETs;
drain-source current;
generation current;
interface traps;
reliability;
unified compact model;
82.
Reliability constraints for TANOS memories due to alumina trapping and leakage
机译:
由于氧化铝的捕获和泄漏,TANOS存储器的可靠性受到限制
作者:
Amoroso Salvatore M.
;
Mauri Aurelio
;
Galbiati Nadia
;
Scozzari Claudia
;
Mascellino Evelyne
;
Camozzi Elisa
;
Rangoni Armando
;
Ghilardi Tecla
;
Grossi Alessandro
;
Tessariol Paolo
;
Compagnoni Christian Monzio
;
Maconi Alessandro
;
Lacaita Andrea L.
;
Spinelli Alessandro S.
;
Ghidini Gabriella
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Flash memories;
charge-trapping memories;
high-k dielectrics;
semiconductor device modeling;
83.
Use of random telegraph signal as internal probe to study program/erase charge lateral spread in a SONOS flash memory
机译:
使用随机电报信号作为内部探针来研究SONOS闪存中的程序/擦除电荷横向扩散
作者:
Chou Y.L.
;
Chiu J.P.
;
Ma H.C.
;
Wang Tahui
;
Chao Y.P.
;
Chen K.C.
;
Lu Chih-Yuan
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
84.
Transition of erase mechanism for MONOS memory depending on SiN composition and its impact on cycling degradation
机译:
取决于SiN组成的MONOS存储器擦除机制的转变及其对循环退化的影响
作者:
Fujii Shosuke
;
Fujiki Jun
;
Yasuda Naoki
;
Fujitsuka Ryota
;
Sekine Katsuyuki
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
BE-MONOS;
Cycling degradation;
MONOS;
TANOS;
85.
On the physical interpretation of the impact damage model in TDDB of low-k dielectrics
机译:
低k介质TDDB中冲击损伤模型的物理解释。
作者:
Lloyd J.R.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Impact Damage;
Low-k Dielelctrics;
TDDB;
component;
86.
Reliability and performance limiting defects in low-к dielectrics for use as interlayer dielectrics
机译:
用作层间电介质的低к电介质的可靠性和性能限制缺陷
作者:
Bittel B.C.
;
Lenahan P.M.
;
King S.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
87.
Resistance trace modeling and electromigration immortality criterion based on void growth saturation
机译:
基于空隙生长饱和度的电阻迹线建模和电迁移不朽准则
作者:
Lamontagne P.
;
Ney D.
;
Doyen L.
;
Petitprez E.
;
Wouters Y.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
electromigration;
immortality criterion;
resistance modeling;
88.
The TDDB failure mode and its engineering study for 45nm and beyond in porous low k dielectrics direct polish scheme
机译:
多孔低k电介质直接抛光方案中TDDB失效模式及其在45nm及以上工艺的工程研究
作者:
Hsu Chia-Lin
;
Lu Kuan-Ting
;
Lin Wen-Chin
;
Lin Jeh-Chieh
;
Chen Chih-Hsien
;
Tsai Teng-Chun
;
Huang Climbing
;
Wu J Y
;
Perng Dung-Ching
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Cu interconnect;
Direct Polish;
Failure mode;
TDDB;
low k;
time-dependent dielectric breakdown;
89.
A case study of high temperature pass analysis using thermal laser stimulation technique
机译:
使用热激光激发技术进行高温通过分析的案例研究
作者:
Lin Hung Sung
;
Wu Mong Sheng
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
NIR;
TLS;
laser;
temperature;
thermal;
90.
A bending N-Well ballast layout to improve ESD robustness in fully-silicided CMOS technology
机译:
弯曲的N阱镇流器布局,可提高全硅化CMOS技术中的ESD鲁棒性
作者:
Wen Yong-Ru
;
Ker Ming-Dou
;
Chen Wen-Yi
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
91.
Isolating marginally defective gate using photoperturbation induced via a C-AFM laser beam
机译:
使用通过C-AFM激光束引起的光扰动隔离边缘缺陷的栅极
作者:
Lin Hung Sung
;
Wu Mong Sheng
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
AFM;
C-AFM;
photoperturbation;
photovoltaic;
92.
Robust high current ESD performance of nano-meter scale DeNMOS by source ballasting
机译:
通过源镇流器实现纳米级DeNMOS的强大高电流ESD性能
作者:
Chatterjee Amitabh
;
Brewer Forrest
;
Gossner Harald
;
Pendharkar Sameer
;
Duvvury Charvaka
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
2D on6;
3D localization;
Bipolar Turn-on;
Current Crowding;
Kirk Effect;
StrongSnapback;
93.
A test concept for circuit level aging demonstrated by a differential amplifier
机译:
差分放大器演示的电路电平老化测试概念
作者:
Chouard Florian R.
;
Werner Christoph
;
Schmitt-Landsiedel Doris
;
Fulde Michael
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
HCI;
NBTI;
PBTI;
aging acceleration;
circuit reliability;
degradation;
testing;
94.
InAlAs/InGaAs MHEMT degradation during DC and thermal stressing
机译:
在直流和热应力作用下InAlAs / InGaAs MHEMT的降解
作者:
Douglas E. A.
;
Chen K.H.
;
Chang C.Y.
;
Leu L. C.
;
Lo C. F.
;
Chu B. H.
;
Ren F.
;
Pearton S. J.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
95.
A built-in aging detection and compensation technique for improving reliability of nanoscale CMOS designs
机译:
内置的老化检测和补偿技术可提高纳米级CMOS设计的可靠性
作者:
Dadgour Hamed F.
;
Banerjee Kaustav
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Aging;
Bias-Temperature Instability;
Diagnostics;
Fault-Tolerance;
Hot-carrier Effect;
NBTI;
PBTI;
Process Variation;
Reliability;
Robustness;
Timing Analysis;
96.
Electronic failures in spacecraft environments
机译:
航天器环境中的电子故障
作者:
Sheldon Douglas J.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
component;
electronic parts;
failure;
radiation;
reliabiity;
spacecraft;
97.
Measurement of neutron-induced single event transient pulse width narrower than 100ps
机译:
中子诱发的单事件瞬态脉冲宽度小于100ps的测量
作者:
Nakamura Hideyuki
;
Tanaka Katsuhiko
;
Uemura Taiki
;
Takeuchi Kan
;
Fukuda Toshikazu
;
Kumashiro Shigetaka
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
SEE;
SET;
combinational logic;
neutron;
single event effects;
single event transient;
soft errors;
98.
Optimal cell design for enhancing reliability characteristics for sub 30 nm NAND Flash memory
机译:
优化单元设计以增强30 nm以下NAND闪存的可靠性
作者:
Cho Eun Suk
;
Kim Hyun Jung
;
Kim Byoung Taek
;
Song Jai Hyuk
;
Song Du Heon
;
Choi Jeong-Hyuk
;
Suh Kang-Deog
;
Chung Chilhee
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Coupling Rario;
Floating Gate;
NAND Flash;
Reliability;
SCE;
99.
A simple electrical method for etch bias and process reliability determination
机译:
一种用于蚀刻偏差和工艺可靠性确定的简单电气方法
作者:
Yiang Kok-Yong
;
Chin Melida
;
Marathe Amit
;
Aubel Oliver
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
Etch bias;
VRDB;
line-edge roughness (LER);
100.
Analysis of interface-trap effects in inversion-type InGaAs/ZrO
2
MOSFETs
机译:
反转型InGaAs / ZrO
2 inf> MOSFET的界面陷阱效应分析
作者:
Morassi L.
;
Verzellesi G.
;
Padovani A.
;
Larcher L.
;
Pavan P.
;
Veksler D.
;
Ok Injo
;
Bersuker G.
会议名称:
《IEEE International Reliability Physics Symposium》
|
2010年
关键词:
III-V MOSFETs;
InGaAs;
high-k dielectric;
interface traps;
numerical simulation;
意见反馈
回到顶部
回到首页