掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Conference on Field-Programmable Technology
International Conference on Field-Programmable Technology
召开年:
2013
召开地:
Kyoto(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
An acceleration method of short read mapping using FPGA
机译:
使用FPGA简短读取映射的加速方法
作者:
Sogabe Yoko
;
Maruyama Tsutomu
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
2.
Design and optimization of heterogeneous tree-based FPGA using 3D technology
机译:
3D技术的基于异构树的FPGA的设计与优化
作者:
Pangracious Vinod
;
Mehrez Habib
;
Marrakchi Zied
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
3.
Application-specific customisation of market data feed arbitration
机译:
特定于应用的市场数据饲料仲裁的定制
作者:
Denholm Stewart
;
Inoue Hiroaki
;
Takenaka Takashi
;
Luk Wayne
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
4.
A high-performance and high-programmability reconfigurable wireless development platform
机译:
高性能和高可编程性可重新配置的无线开发平台
作者:
Jiahua Chen
;
Tao Wang
;
Haoyang Wu
;
Jian Gong
;
Xiaoguang Li
;
Yang Hu
;
Gaohan Zhang
;
Zhiwei Li
;
Junrui Yang
;
Songwu Lu
会议名称:
《International Conference on Field-Programmable Technology》
|
2014年
关键词:
P A;
performance;
programmability;
reconfigurable;
wireless;
5.
Analysis and optimization of a deeply pipelined FPGA soft processor
机译:
深深流水线FPGA软处理器的分析与优化
作者:
Hui Yan Cheah
;
Fahmy Suhaib /A/.
;
Kapre Nachiket
会议名称:
《International Conference on Field-Programmable Technology》
|
2014年
6.
Improving the reliability of RO PUF using frequency offset
机译:
使用频率偏移提高RO PUF的可靠性
作者:
Bin Tang
;
Yaping Lin
;
Jiliang Zhang
会议名称:
《International Conference on Field-Programmable Technology》
|
2014年
关键词:
Physical unclonable function (PUF);
hardware overhead;
offset;
reliability;
ring oscillator (RO);
7.
A survey on security and trust of FPGA-based systems
机译:
基于FPGA的系统安全与信赖调查
作者:
Jiliang Zhang
;
Gang Qu
会议名称:
《International Conference on Field-Programmable Technology》
|
2014年
8.
A moving object extraction and classification system based on Zynq and IBM SuperVessel
机译:
基于Zynq和IBM SuperveSel的移动对象提取和分类系统
作者:
Zhehao Li
;
Jifang Jin
;
Lingli Wang
;
Ji Yang
;
Jiahua Lu
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Field programmable gate arrays;
IP networks;
Acceleration;
Hardware;
Streaming media;
Libraries;
Image communication;
9.
A memory-based realization of a binarized deep convolutional neural network
机译:
基于内存的深度卷积神经网络实现实现
作者:
Hiroki Nakahara
;
Haruyoshi Yonekawa
;
Tsutomu Sasao
;
Hisashi Iwamoto
;
Masato Motomura
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Table lookup;
Two dimensional displays;
Memory management;
Neural networks;
Field programmable gate arrays;
System-on-chip;
Convolution;
10.
Application debug in FPGAs in the presence of multiple asynchronous clocks
机译:
在多个异步时钟的存在下,在FPGA中调试应用程序
作者:
Georgios Tzimpragos
;
Da Cheng
;
Stephanie Tapp
;
Balakrishna Jayadev
;
Amitava Majumdar
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Clocks;
Field programmable gate arrays;
Tools;
Radiation detectors;
Debugging;
Table lookup;
Registers;
11.
A survey of NoC evaluation platforms on FPGAs
机译:
对FPGA的NOC评估平台调查
作者:
Otavio A. de Lima
;
Weslley N. Costa
;
Virginie Fresse
;
Frédéric Rousseau
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Field programmable gate arrays;
Tools;
Computer architecture;
Analytical models;
Emulation;
Software;
Performance evaluation;
12.
FPGA implementation of a real-time super-resolution system using a convolutional neural network
机译:
FPGA使用卷积神经网络实现实时超分辨率系统
作者:
Taito Manabe
;
Yuichiro Shibata
;
Kiyoshi Oguri
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Image resolution;
Field programmable gate arrays;
Neural networks;
Image restoration;
Real-time systems;
Hardware;
Interpolation;
13.
Dynamic scheduling of voter checks in FPGA-based TMR systems
机译:
基于FPGA的TMR系统中的选民检查的动态调度
作者:
Nguyen T. H. Nguyen
;
Dimitris Agiakatsikas
;
Ediz Cetin
;
Oliver Diessel
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Tunneling magnetoresistance;
Reliability;
Field programmable gate arrays;
Hardware;
Engines;
Dynamic scheduling;
Schedules;
14.
Trax player implementation on FPGA using high level synthesis tool
机译:
使用高级综合工具在FPGA上实现TRAX播放器
作者:
Akira Kojima
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Games;
Artificial intelligence;
Algorithm design and analysis;
IP networks;
Field programmable gate arrays;
Tools;
Hardware;
15.
High performance Deformable Part Model accelerator based on FPGA
机译:
基于FPGA的高性能可变形零件模型加速器
作者:
Qi Zhan
;
Min Gao
;
Li Jiao
;
Wei Cao
;
Xuegong Zhou
;
Lingli Wang
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Convolution;
Mathematical model;
Field programmable gate arrays;
Computational modeling;
Object detection;
Computer architecture;
Training;
16.
Enriching C-based High-Level Synthesis with parallel pattern templates
机译:
使用并行模式模板富集基于C的高级合成
作者:
Lana Josipovic
;
Nithin George
;
Paolo Ienne
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Tools;
Hardware;
Optimization;
Throughput;
Parallel processing;
Computer languages;
Standards;
17.
IC security evaluation against fault injection attack based on FPGA emulation
机译:
基于FPGA仿真的IC安全评估防止故障注射攻击
作者:
Song Xu
;
Qiang Liu
;
Tao Li
;
Hongxiang Fan
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Circuit faults;
Emulation;
Field programmable gate arrays;
Integrated circuit modeling;
Security;
Data models;
18.
Trax solver on Zynq using incremental update algorithm
机译:
使用增量更新算法Zynq上的Trax Solver
作者:
Hiroshi Nakahara
;
Tetsui Ohkubo
;
Hideki Shimura
;
Ryotaro Sakai
;
Chiharu Tsuruta
;
Takahiro Kaneda
;
Hideharu Amano
会议名称:
《International Conference on Field-Programmable Technology》
|
2016年
关键词:
Games;
Artificial intelligence;
Field programmable gate arrays;
Data structures;
Algorithm design and analysis;
Time complexity;
Hardware design languages;
19.
RNA: Reconfigurable LSTM Accelerator with Near Data Approximate Processing
机译:
RNA:可重新配置的LSTM加速器,具有近数据近似处理
作者:
Yu Gong
;
Bo Liu
;
Wei Ge
;
Longxing Shi
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
data handling;
learning (artificial intelligence);
parallel processing;
reconfigurable architectures;
recurrent neural nets;
scheduling;
20.
Winograd-Based Real-Time Super-Resolution System on FPGA
机译:
基于Winograd的FPGA实时超分辨率系统
作者:
Bizhao Shi
;
Zhucheng Tang
;
Guojie Luo
;
Ming Jiang
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
field programmable gate arrays;
image reconstruction;
image resolution;
interpolation;
neural nets;
21.
OBFS: OpenCL Based BFS Optimizations on Software Programmable FPGAs
机译:
OBFS:基于OpenCL基于软件可编程FPGA的BFS优化
作者:
Cheng Liu
;
Xinyu Chen
;
Bingsheng He
;
Xiaofei Liao
;
Ying Wang
;
Lei Zhang
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
energy conservation;
field programmable gate arrays;
graph theory;
hardware description languages;
high level synthesis;
logic design;
low-power electronics;
memory architecture;
tree searching;
22.
An OpenCL-Based Hybrid CNN-RNN Inference Accelerator On FPGA
机译:
FPGA上基于OpenCL的混合CNN-RNN推理加速器
作者:
Yunfei Sun
;
Brian Liu
;
Xianchao Xu
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
convolutional neural nets;
field programmable gate arrays;
learning (artificial intelligence);
parallel processing;
recurrent neural nets;
resource allocation;
23.
Evolved Binary Neural Networks Through Harnessing FPGA Capabilities
机译:
通过利用FPGA能力演变二元神经网络
作者:
Raul Valencia
;
Chiu Wing Sham
;
Oliver Sinnen
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
field programmable gate arrays;
gradient methods;
inference mechanisms;
learning (artificial intelligence);
neural nets;
24.
Revisiting Deep Learning Parallelism: Fine-Grained Inference Engine Utilizing Online Arithmetic
机译:
重新审视深度学习并行性:利用在线算术的细粒度推理引擎
作者:
Ameer M.S. Abdelhadi
;
Lesley Shannon
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
digital arithmetic;
inference mechanisms;
learning (artificial intelligence);
neural nets;
parallel processing;
SRAM chips;
25.
Autonomous Driving Developed with an FPGA Design
机译:
使用FPGA设计开发的自动驾驶
作者:
Euan Jones
;
Keegan Pepper
;
Aimei Li
;
Shiyue Li
;
Yuteng Zhang
;
Donald Bailey
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
automobiles;
field programmable gate arrays;
logic design;
mobile robots;
object detection;
pedestrians;
remotely operated vehicles;
road traffic control;
robot vision;
26.
Amoeba-Inspired Hardware SAT Solver with Effective Feedback Control
机译:
Amoeba启发硬件SAT求解器,具有有效的反馈控制
作者:
Anh Hoang Ngoc Nguyen
;
Masashi Aono
;
Yuko Hara-Azumi
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
Boolean functions;
computability;
computational complexity;
feedback;
field programmable gate arrays;
high level synthesis;
27.
Real-Time Automatic Modulation Classification
机译:
实时自动调制分类
作者:
Stephen Tridgell
;
David Boland
;
Philip H.W. Leong
;
Siddhartha Siddhartha
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
convolutional neural nets;
learning (artificial intelligence);
modulation;
public domain software;
signal classification;
system-on-chip;
telecommunication computing;
28.
Scalable Low-Latency Persistent Neural Machine Translation on CPU Server with Multiple FPGAs
机译:
具有多个FPGA的CPU服务器上可扩展的低延迟持久性神经电机翻译
作者:
Eriko Nurvitadhi
;
Andrew Boutros
;
Prerna Budhkar
;
Ali Jafari
;
Dongup Kwon
;
David Sheffield
;
Abirami Prabhakaran
;
Karthik Gururaj
;
Pranavi Appana
;
Mishali Naik
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
field programmable gate arrays;
integrated circuit modelling;
microprocessor chips;
neural nets;
synchronisation;
29.
ZyNet: Automating Deep Neural Network Implementation on Low-Cost Reconfigurable Edge Computing Platforms
机译:
ZyNet:在低成本可重新配置边缘计算平台上自动化深度神经网络实现
作者:
Kizheppatt Vipin
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
distributed processing;
field programmable gate arrays;
hardware-software codesign;
neural nets;
30.
Real-Time Object Detection on 640x480 Image With VGG16+SSD
机译:
使用VGG16 + SSD的640x480图像实时对象检测
作者:
Hyeong-Ju Kang
会议名称:
《International Conference on Field-Programmable Technology》
|
2019年
关键词:
computer vision;
convolutional neural nets;
field programmable gate arrays;
logic design;
object detection;
real-time systems;
31.
A hardware acceleration of a phylogenetic tree reconstruction with maximum parsimony algorithm using FPGA
机译:
使用FPGA的最大定义算法的系统发育树重建硬件加速度
作者:
Block Henry
;
Maruyama Tsutomu
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
32.
Implementation of a highly scalable blokus duo solver on FPGA
机译:
在FPGA上实现高度可扩展的Blokus Duo Solver
作者:
Liu Chester
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
关键词:
Blokus solver;
FPGA;
Monte Carlo method;
33.
sAES: A high throughput and low latency secure cloud storage with pipelined DMA based PCIe interface
机译:
SAES:基于流水线的PCIe接口的高吞吐量和低延迟安全云存储
作者:
Chen Yongzhen
;
Wang Yi
;
Ha Yajun
;
Felipe Miguel Rodel
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
34.
High-level synthesis of dynamic data structures: A case study using Vivado HLS
机译:
动态数据结构的高级别合成:使用Vivado HLS的案例研究
作者:
Winterstein Felix
;
Bayliss Samuel
;
Constantinides George A.
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
35.
Optimizing time and space multiplexed computation in a dynamically reconfigurable processor
机译:
在动态可重构处理器中优化时间和空间多路复用计算
作者:
Toi Takao
;
Nakamura Noritsugu
;
Fujii Taro
;
Kitaoka Toshiro
会议名称:
《International Conference on Field-Programmable Technology》
|
2013年
36.
A High-Throughput, Resource-Efficient Implementation of the RoCEv2 Remote DMA Protocol for Network-Attached Hardware Accelerators
机译:
用于网络连接硬件加速器的Rocev2远程DMA协议的高吞吐量,资源有效地实现
作者:
Niklas Schelten
;
Fritjof Steinert
;
Anton Schulte
;
Benno Stabernack
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Data centers;
Protocols;
Power demand;
Scalability;
Ethernet;
Throughput;
Hardware;
37.
Optimizing Fully Spectral Convolutional Neural Networks on FPGA
机译:
优化FPGA上的全谱卷积神经网络
作者:
Shuanglong Liu
;
Wayne Luk
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Performance evaluation;
Training;
Three-dimensional displays;
Frequency-domain analysis;
Merging;
Hardware;
Convolutional neural networks;
38.
Designing Universal Logic Module FPGA Architectures for Use With Ambipolar Transistor Technology
机译:
设计通用逻辑模块FPGA架构与Ambipolar晶体管技术一起使用
作者:
Johannes Pfau
;
Maximilian Reuter
;
Klaus Hofmann
;
Jürgen Becker
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Performance evaluation;
Random access memory;
Tools;
Benchmark testing;
Writing;
Signal generators;
Table lookup;
39.
CHIP-KNN: A Configurable and High-Performance K-Nearest Neighbors Accelerator on Cloud FPGAs
机译:
CHIP-KNN:云FPGA上的可配置和高性能的K-最近邻居加速器
作者:
Alec Lu
;
Zhenman Fang
;
Nazanin Farahpour
;
Lesley Shannon
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Measurement;
Cloud computing;
Databases;
Tools;
Space exploration;
Classification algorithms;
Acceleration;
40.
Fast Linking of Separately-Compiled FPGA Blocks without a NoC
机译:
没有NOC的单独编译的FPGA块快速链接
作者:
Yuanlong Xiao
;
Syed Tousif Ahmed
;
André DeHon
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Wiring;
Limiting;
Wires;
Bandwidth;
Performance gain;
Parallel processing;
Benchmark testing;
41.
Beyond Peak Performance: Comparing the Real Performance of AI-Optimized FPGAs and GPUs
机译:
超出峰值性能:比较AI优化的FPGA和GPU的真实性能
作者:
Andrew Boutros
;
Eriko Nurvitadhi
;
Rui Ma
;
Sergey Gribok
;
Zhipeng Zhao
;
James C. Hoe
;
Vaughn Betz
;
Martin Langhammer
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Tensors;
Graphics processing units;
Ethernet;
Computer architecture;
Tools;
Throughput;
Software;
42.
From TensorFlow Graphs to LUTs and Wires: Automated Sparse and Physically Aware CNN Hardware Generation
机译:
从Tensorflow图表到LUT和电线:自动稀疏和物理知识的CNN硬件生成
作者:
Mathew Hall
;
Vaughn Betz
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Wires;
Graphics processing units;
Computer architecture;
Machine learning;
Parallel processing;
Throughput;
Routing;
43.
A Low-Cost Reconfigurable Nonlinear Core for Embedded DNN Applications
机译:
用于嵌入式DNN应用的低成本可重新配置非线性核心
作者:
Yue Li
;
Wei Cao
;
Xuegong Zhou
;
Lingli Wang
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Bit error rate;
Neural networks;
Throughput;
Hardware;
Table lookup;
Task analysis;
Field programmable gate arrays;
44.
Jitter-based Adaptive True Random Number Generation for FPGAs in the Cloud
机译:
基于抖动的基于抖动的自适应真正随机数生成FPGA
作者:
Xiang Li
;
Peter Stanwicks
;
George Provelengios
;
Russell Tessier
;
Daniel Holcomb
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Web services;
Clouds;
Stochastic processes;
Jitter;
NIST;
Entropy;
Generators;
45.
A Complete Open Source Design Flow for Gowin FPGAs
机译:
Gowin FPGA的完整开源设计流程
作者:
Pepijn de Vos
;
Michael Kirchhoff
;
Daniel Ziener
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Companies;
Tools;
Logic gates;
Fuzzing;
Software;
Generators;
Design tools;
46.
StateReveal: Enabling Checkpointing of FPGA Designs with Buried State
机译:
校长:通过埋藏状态启用FPGA设计的检查点
作者:
Sameh Attia
;
Vaughn Betz
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Checkpointing;
Random access memory;
Debugging;
Tools;
Hardware;
Registers;
Timing;
47.
How Much Does Regularity Help FPGA Placement?
机译:
规律性有多少帮助FPGA展示?
作者:
Hongxin Kong
;
Lang Feng
;
Chunhua Deng
;
Bo Yuan
;
Jiang Hu
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Circuit optimization;
Neural networks;
Software;
Circuit synthesis;
Field programmable gate arrays;
48.
New Directions for NewHope: Improving Performance of Post-Quantum Cryptography through Algorithm-level Pipelining
机译:
Newhope的新方向:通过算法水平流水线提高量子密码术的性能
作者:
Luke Beckwith
;
William Diehl
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Computers;
Ciphers;
Technological innovation;
Quantum computing;
Throughput;
Energy efficiency;
Encryption;
49.
Neighbors From Hell: Voltage Attacks Against Deep Learning Accelerators on Multi-Tenant FPGAs
机译:
来自地狱的邻居:对多租户FPGA的深度学习加速器的电压攻击
作者:
Andrew Boutros
;
Mathew Hall
;
Nicolas Papernot
;
Vaughn Betz
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Deep learning;
Resistance;
Real-time systems;
Timing;
Security;
Circuit faults;
Integrated circuit modeling;
50.
Acceleration of Short Read Alignment with Runtime Reconfiguration
机译:
与运行时重新配置的简短读取对齐加速
作者:
Ho-Cheung Ng
;
Shuanglong Liu
;
Izaak Coleman
;
Ringo S.W. Chu
;
Man-Chung Yue
;
Wayne Luk
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Sequential analysis;
Runtime;
Pipelines;
Genomics;
Throughput;
Reconfigurable architectures;
Acceleration;
51.
GIB: A Novel Unidirectional Interconnection Architecture for FPGA
机译:
GIB:FPGA的一个新颖的单向互连架构
作者:
Kaichuang Shi
;
Hao Zhou
;
Xuegong Zhou
;
Lingli Wang
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Wires;
Integrated circuit interconnections;
Switches;
Benchmark testing;
Logic gates;
Routing;
Pins;
52.
Cloud FPGA Security with RO-Based Primitives
机译:
云FPGA安全性与RO的基元素
作者:
Shanquan Tian
;
Andrew Krzywosz
;
Ilias Giechaskiel
;
Jakub Szefer
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Ring oscillators;
Clouds;
NIST;
Physical unclonable function;
Generators;
Table lookup;
Security;
53.
Automatic Selection and Insertion of HLS Directives Via a Source-to-Source Compiler
机译:
通过源到源代码编译器自动选择和插入HLS指令
作者:
Tiago Santos
;
João M.P. Cardoso
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Manuals;
Benchmark testing;
Tools;
Software;
Hardware;
Data mining;
Optimization;
54.
Hardware Implementations with High Throughput, Low-Latency and Low-Area for Matrix Inversion
机译:
具有高吞吐量,低延迟和低区域的硬件实现,用于矩阵反转
作者:
Sultan Alqahtani
;
Yiqun Zhu
;
Qizhi Shi
;
Xiaolin Meng
;
Xinhua Wang
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Memory management;
Throughput;
Hardware;
Registers;
Acceleration;
Field programmable gate arrays;
55.
Build Automation and Runtime Abstraction for Partial Reconfiguration on Xilinx Zynq UltraScale+
机译:
在Xilinx Zynq UltraScale +上构建自动化和运行时抽象以进行部分重新配置
作者:
Alex R. Bucknall
;
Shanker Shreejith
;
Suhaib A. Fahmy
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Runtime;
Automation;
Linux;
Architecture;
Buildings;
Memory management;
Tools;
56.
An FPGA Accelerator for Bayesian Network Structure Learning with Iterative Use of Processing Elements
机译:
贝叶斯网络结构学习的FPGA加速器,迭代使用处理元件
作者:
Yasuhiro Nitta
;
Hideki Takase
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Training;
Limiting;
Graphical models;
Heuristic algorithms;
Software algorithms;
Parallel processing;
Software;
57.
INFER: INterFerence-aware Estimation of Runtime for Concurrent CNN Execution on DPUs
机译:
推断:DPU上并发CNN执行运行时的干扰感知估计
作者:
Shikha Goel
;
Rajesh Kedia
;
M. Balakrishnan
;
Rijurekha Sen
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Deep learning;
Energy consumption;
Runtime;
Neural networks;
Estimation;
Bandwidth;
Prediction algorithms;
58.
Battling the CPU Bottleneck in Apache Parquet to Arrow Conversion Using FPGA
机译:
使用FPGA对Apache Parquet中的CPU瓶颈作战,以箭头转换
作者:
Johan Peltenburg
;
Lars T.J. van Leeuwen
;
Joost Hoozemans
;
Jian Fang
;
Zaid Al-Ars
;
H. Peter Hofstee
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Bandwidth;
Metadata;
Big Data;
Data structures;
Throughput;
Encoding;
Decoding;
59.
A Reconfigurable Compute-in-the-Network FPGA Assistant for High-Level Collective Support with Distributed Matrix Multiply Case Study
机译:
具有分布式矩阵乘法案例研究的高级集体支持的可重新配置的网络内FPGA助理
作者:
Pouya Haghi
;
Anqi Guo
;
Tong Geng
;
Justin Broaddus
;
Derek Schafer
;
Anthony Skjellum
;
Martin Herbordt
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Message passing;
Clustering algorithms;
Switches;
Hardware;
Acceleration;
Kernel;
Field programmable gate arrays;
60.
A High Throughput Parallel Hash Table Accelerator on HBM-enabled FPGAs
机译:
HBM的FPGA上的高吞吐量平行哈希表加速器
作者:
Yang Yang
;
Sanmukh R. Kuppannagari
;
Viktor K. Prasanna
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Text mining;
Graphics processing units;
Organizations;
Bandwidth;
Machine learning;
Nearest neighbor methods;
Throughput;
61.
FlexBex: A RISC-V with a Reconfigurable Instruction Extension
机译:
FlexBex:RISC-V具有可重新配置的指令扩展
作者:
Nguyen Dao
;
Andrew Attwood
;
Bea Healy
;
Dirk Koch
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Runtime;
Fabrics;
Registers;
Field programmable gate arrays;
Open source software;
62.
Moving Compute towards Data in Heterogeneous multi-FPGA Clusters using Partial Reconfiguration and I/O Virtualisation
机译:
使用部分重新配置和I / O虚拟化在异构多FPGA集群中转向数据的计算
作者:
Khoa Pham
;
Dirk Koch
;
Anuj Vaishnav
;
Konstantinos Georgopoulos
;
Pavlos Malakonakis
;
Aggelos Ioannou
;
Iakovos Mavroidis
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Productivity;
Data centers;
Energy consumption;
Layout;
Random access memory;
Throughput;
Market research;
63.
A Reconfigurable Multithreaded Accelerator for Recurrent Neural Networks
机译:
用于经常性神经网络的可重构多线程加速器
作者:
Zhiqiang Que
;
Hiroki Nakahara
;
Hongxiang Fan
;
Jiuxi Meng
;
Kuen Hung Tsoi
;
Xinyu Niu
;
Eriko Nurvitadhi
;
Wayne Luk
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Recurrent neural networks;
Instruction sets;
Computer architecture;
Quality of service;
Parallel processing;
Throughput;
Hardware;
64.
Mapping Multiple LSTM models on FPGAs
机译:
在FPGA上映射多个LSTM模型
作者:
Stefano Ribes
;
Pedro Trancoso
;
Ioannis Sourdis
;
Christos-Savvas Bouganis
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Performance evaluation;
Recurrent neural networks;
Processor scheduling;
Computational modeling;
Memory management;
Predictive models;
Natural language processing;
65.
Learn to Place: FPGA Placement Using Reinforcement Learning and Directed Moves
机译:
学会放置:使用强化学习和定向移动FPGA放置
作者:
Mohamed A. Elgamma
;
Kevin E. Murray
;
Vaughn Betz
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Runtime;
Simulated annealing;
Reinforcement learning;
Benchmark testing;
Search problems;
Space exploration;
Delays;
66.
ReconROS: Flexible Hardware Acceleration for ROS2 Applications
机译:
RECORROS:ROS2应用程序灵活的硬件加速
作者:
Christian Lienen
;
Marco Platzner
;
Bernhard Rinner
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Computers;
Operating systems;
Instruction sets;
Programming;
Software;
Real-time systems;
Energy efficiency;
67.
Revisiting FPGA Routing under Varying Operating Conditions
机译:
在不同的操作条件下重新审视FPGA路由
作者:
Behnam Khaleghi
;
Sahand Salamat
;
Tajana Šimunić Rosing
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Temperature sensors;
Degradation;
Performance evaluation;
Sensitivity;
Wires;
Switches;
Routing;
68.
Bandwidth Efficient Near-Storage Accelerator for High-Dimensional Similarity Search
机译:
用于高维相似性搜索的带宽高效近储物加速器
作者:
Gongjin Sun
;
Sang-Woo Jun
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Performance evaluation;
Pipelines;
Bandwidth;
Software;
Field programmable gate arrays;
Compression algorithms;
Indexing;
69.
Nonintrusive and Adaptive Monitoring for Locating Voltage Attacks in Virtualized FPGAs
机译:
用于定位虚拟化FPGA中的电压攻击的非功能和自适应监控
作者:
Seyedeh Sharareh Mirzargar
;
Gaiëtan Renault
;
Andrea Guerrieri
;
Mirjana Stojilović
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Measurement;
Cloud computing;
Voltage fluctuations;
Fluctuations;
Real-time systems;
Sensors;
Transient analysis;
70.
DASH: Design Automation for Synthesis and Hardware Generation for CNN
机译:
DASH:用于CNN的合成和硬件生成的设计自动化
作者:
Arish Sateesan
;
Sharad Sinha
;
Smitha K G
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Design automation;
Software algorithms;
Tools;
Throughput;
Hardware;
Libraries;
Software;
71.
A Bucket-Stream rBRIEF Extraction Architecture for SLAM Applications on Embedded Platforms
机译:
嵌入式平台上的SLAM应用桶流卷材提取架构
作者:
Haowen Chen
;
Feiteng Li
;
Zhuo Zhang
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Location awareness;
Visualization;
Image segmentation;
Simultaneous localization and mapping;
Computer architecture;
Life estimation;
Feature extraction;
72.
Service Chaining for Heterogeneous Middleboxes
机译:
服务链接异构中间盒
作者:
Xuzhi Zhang
;
Russell Tessier
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Protocols;
Firewalls (computing);
Scalability;
Microprocessors;
Middleboxes;
Throughput;
Software;
73.
Sponsors and Supporters
机译:
赞助和支持者
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
74.
Towards Overlay-based Rapid In-Circuit Tuning of Deep Learning Designs
机译:
朝向基于覆盖的深度学习设计的快速电路调整
作者:
Zhiqiang Que
;
Daniel Holanda Noronha
;
Ruizhe Zhao
;
Xinyu Niu
;
Steven J.E. Wilton
;
Wayne Luk
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Deep learning;
Visualization;
Instruments;
Neural networks;
Debugging;
Hardware;
Tuning;
75.
Message from the General Chair and Program Co-Chairs
机译:
来自一般椅子和方案合作社的信息
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
76.
High Throughput and Low Latency Multi-Version Management Key-Value Storage Accelerator
机译:
高吞吐量和低延迟多版本管理键值存储加速器
作者:
Hankun Lv
;
Yuchen Ren
;
Yunhui Qiu
;
Wenbo Yin
;
Lingli Wang
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Pipelines;
Bandwidth;
Throughput;
Engines;
Field programmable gate arrays;
77.
Automated Integration of High-Level Synthesis FPGA Modules with ROS2 Systems
机译:
使用ROS2系统自动集成高级合成FPGA模块
作者:
Daniel Pinheiro Leal
;
Midori Sugaya
;
Hideharu Amano
;
Takeshi Ohkawa
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Image processing;
Forestry;
Tools;
Task analysis;
Field programmable gate arrays;
Robots;
Open source software;
78.
A Design Exploration of Scalable Mesh-based Fully Pipelined Accelerators
机译:
可伸缩网格的全流水线加速器的设计探索
作者:
Westerley Carvalho
;
Michael Canesche
;
Lucas Reis
;
Frank Torres
;
Lucas Silva
;
Peter Jamieson
;
José Nacif
;
Ricardo Ferreira
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Wires;
Graphics processing units;
Simulated annealing;
Tools;
Hardware;
Generators;
Topology;
79.
Quantisation-aware Dimensionality Reduction
机译:
量化意识的维数减少
作者:
Ce Guo
;
Wayne Luk
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Dimensionality reduction;
Quantization (signal);
Data analysis;
Bandwidth;
Approximation algorithms;
System-on-chip;
Field programmable gate arrays;
80.
Steering Committee
机译:
指导委员会
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
81.
Design Method for an LUT Network-Based CNN with a Sparse Local Convolution
机译:
具有稀疏本地卷积的LUT网络CNN的设计方法
作者:
Naoto Soga
;
Hiroki Nakahara
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Deep learning;
Data centers;
Power demand;
Convolution;
Design methodology;
Hardware;
Data models;
82.
An FPGA-Based Upper-Limb Rehabilitation Device for Gesture Recognition and Motion Evaluation Using Multi-Task Recurrent Neural Networks
机译:
一种基于FPGA的上肢康复装置,用于使用多任务经常性神经网络的手势识别和运动评估
作者:
Haoyan Liu
;
Atiyehsadat Panahi
;
David Andrews
;
Alexander Nelson
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Recurrent neural networks;
Measurement units;
Tracking;
Instruments;
Prototypes;
Gesture recognition;
Sensor fusion;
83.
Memory-Efficient Dataflow Inference for Deep CNNs on FPGA
机译:
在FPGA上为深CNN的内存高效数据流推理
作者:
Lucian Petrica
;
Tobias Alonso
;
Mairin Kroes
;
Nicholas Fraser
;
Sorin Cotofana
;
Michaela Blott
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Shape;
Memory management;
Random access memory;
Throughput;
Topology;
System-on-chip;
Timing;
84.
Exploring performance enhancement of event-driven processor networks
机译:
探索事件驱动处理器网络的性能增强
作者:
Tim Todman
;
David Thomas
;
Wayne Luk
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Heating systems;
Design automation;
Pipelines;
Joining processes;
85.
Ultra-Low-Latency Video Encoding on Heterogenous Hardware Platforms
机译:
超低延迟视频在异构硬件平台上编码
作者:
Martin Koppehel
;
Thilo Pionteck
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Process control;
Bandwidth;
Hardware;
Encoding;
Decoding;
Browsers;
86.
Organizing Committee
机译:
组织委员会
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
87.
Performance Exploration on Pre-implemented CNN Hardware Accelerator on FPGA
机译:
FPGA上预先实施的CNN硬件加速器的性能探索
作者:
Danielle Tchuinkou Kwadjo
;
Joel Mandebi Mbongue
;
Christophe Bobda
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
关键词:
Productivity;
Deep learning;
Image processing;
Computer architecture;
Tools;
Hardware;
Convolutional neural networks;
88.
Program Committee
机译:
计划委员会
会议名称:
《International Conference on Field-Programmable Technology》
|
2020年
89.
Pipeline frequency boosting: Hiding dual-ported block RAM latency using intentional clock skew
机译:
管道频率升压:使用有意时钟偏斜隐藏双端口块RAM延迟
作者:
Brant Alexander
;
Abdelhadi Ameer
;
Severance Aaron
;
Lemieux Guy G.F.
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
关键词:
design and applications;
memory architectures;
timing optimization;
90.
Guppy: A GPU-like soft-core processor
机译:
Guppy:一种类似GPU的软核处理器
作者:
Al-Dujaili Abdullah
;
Deragisch Florian
;
Hagiescu Andrei
;
Wong Weng-Fai
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
91.
Implementation of a volume rendering on coarse-grained reconfigurable multiprocessor
机译:
在粗粒粒度可重配置多处理器上实现卷渲染
作者:
Jin Seunghun
;
Lee Sangheon
;
Chung Moo-Kyoung
;
Cho Yeongon
;
Ryu Soojung
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
92.
Accelerated evaluation of SEU failure-in-time using frame-based partial reconfiguration
机译:
使用基于帧的部分重新配置加速评估SEU失败的评估
作者:
Ichinomiya Yoshihiro
;
Takano Kohei
;
Amagasaki Motoki
;
Kuga Morihiro
;
Iida Masahiro
;
Sueyoshi Toshinori
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
93.
An island-style-routing compatible fault-tolerant FPGA architecture with self-repairing capabilities
机译:
一种岛式路由兼容容错FPGA架构,具有自修复功能
作者:
Baig Hasan
;
Lee Jeong-A
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
关键词:
FPGA;
Fault-tolerance;
partial reconfiguration;
self-reconfiguration;
self-repair;
stem cell;
system biology;
94.
Side-channel resistant AES architecture utilizing randomized composite field representations
机译:
利用随机复合场表示的侧通道抗性AES架构
作者:
Jungk B.
;
Stottinger M.
;
Gampe J.
;
Reith S.
;
Huss Sorin A.
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
95.
Design space exploration and implementation of a high performance and low area Coarse Grained Reconfigurable Processor
机译:
设计空间探索和实施高性能和低区域粗粒可重新配置处理器
作者:
Suh Dongkwan
;
Kwon Kiseok
;
Kim Sukjin
;
Ryu Soojung
;
Kim Jeongwook
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
96.
A new hardware coprocessor for accelerating Notification-Oriented applications
机译:
用于加速导向通知的应用的新硬件协处理器
作者:
Peters Eduardo
;
Jasinski Ricardo P.
;
Pedroni Volnei A.
;
Simao Jean M.
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
97.
FPGA based memory efficient high resolution stereo vision system for video tolling
机译:
基于FPGA的内存高效的高分辨率立体声视觉视觉系统,用于视频收费
作者:
Shan Yi
;
Wang Zilong
;
Wang Wenqiang
;
Hao Yuchen
;
Wang Yu
;
Tsoi Kuenhung
;
Luk Wayne
;
Yang Huazhong
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
98.
A task-level OoO framework for heterogeneous systems
机译:
用于异构系统的任务级ooo框架
作者:
Zhang Junneng
;
Wang Chao
;
Li Xi
;
Chen Peng
;
Feng Xiaojing
;
Zhou Xuehai
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
99.
SimXMD: Integrated debugging of C code and hardware components
机译:
SIMXMD:C代码和硬件组件的集成调试
作者:
Willenberg Ruediger
;
Chow Paul
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
100.
Verification of streaming hardware and software codesigns
机译:
验证流硬件和软件代号
作者:
Todman Tim
;
Boehm Peter
;
Luk Wayne
会议名称:
《International Conference on Field-Programmable Technology》
|
2012年
意见反馈
回到顶部
回到首页