掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
24th Annual BACUS Symposium on Photomask Technology pt.1
24th Annual BACUS Symposium on Photomask Technology pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
天津光电线缆技术
印制电路资讯
通信与信息网络学报(英文)
电子信息对抗技术
数字技术与应用
无线电工程
卫星与网络
中国集成电路
数字世界
红外与毫米波学报
更多>>
相关外文期刊
Airborne Electronics, Transactions of the IRE Professional Group on
IEEE Networking Letters
CED
Electron
Via satellite
Terahertz Science and Technology, IEEE Transactions on
IEEE circuits and systems magazine
Everyday practical electronics
Progress Report
Laser & photonics reviews
更多>>
相关中文会议
第五届中国通信网络运维年会暨安全生产研讨会
2007年全国网络与信息安全技术研讨会
2005秋季国际PCB技术/信息论坛
2009年全国通信软件学术会议
中国移动通信集团设计院第19届新技术论坛
第九届全国印制电路学术年会
2005年中国数字电视与网络发展高峰论坛暨第七届全国消费电子技术交流会
2003年通信理论与信号处理年会
通信电源新技术论坛暨2011年通信电源学术研讨会
上海市红外与遥感学会2005年学术年会
更多>>
相关外文会议
Image Algebra and Morphological Image Processing IV
Polymers and Liquid Crystals
International Conference on Optics of Surfaces and Interfaces(OSI-V); 20030526-20030530; Leon; MX
Infrared systems and photoelectronic technology IV
Third Asian Conference for Information Technology in Agriculture, Oct 26-28, 2002, Beijing, China
Industry lasers and applications
2002 Workshop on Frontiers in Electronics (WOFE-02) Jan 6-11, 2002 St. Croix, Virgin Islands, USA
World Multiconference on Systemics, Cybernetics and Informatics and 5th International Conference on Information Systems Analysis and Synthesis Vol.6: Image, Accoustic, Speech and Signal Processing, Jul 31-Aug 4, 1999, Orlando, Florida
Information Resources Management Association International Conference; 20070519-23; Vancouver(CA)
Image processing: algorithms and systems XII
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Multi-layer and Multi-product Masks: Cost Reduction Methodology
机译:
多层和多产品掩模:降低成本的方法
作者:
Artur Balasinski
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask sharing;
mask shuttle;
stepper throughput;
mask cost;
business model;
2.
High flow rate development: Process optimization using Megasonic Immersion Development (MID)
机译:
高流量开发:使用Megasonic浸入开发(MID)进行工艺优化
作者:
Daniel Courboin
;
Jong Woo Choi
;
Sang Hyun Jung
;
Seung Hee Baek
;
Lee Ju Kim
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
photomask;
microloading;
novolak resist;
chemically amplified resist;
megasonic;
developer temperature;
developer concentration;
3.
A Reticle Quality Management Strategy in Wafer Fabs Addressing Progressive Mask Defect Growth Problem at low k1 Lithography
机译:
在低k1光刻条件下解决渐进式掩模缺陷生长问题的晶圆厂网版质量管理策略
作者:
Kaustuve Bhattacharyya
;
Kong Son
;
Ben Eynon
;
Dadi Gudmundsson
;
Carmen Jaehnert
;
Doris Uhlig
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
crystal growth;
defects;
photomask;
inspection;
re-qualification;
frequency;
4.
Software to Simulate Dry Etch in Photomask Fabrication
机译:
模拟光掩模制造中的干蚀刻的软件
作者:
S. Babin
;
K. Bay
;
S. Okulovsky
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
maskmaking;
dry etch;
etch profile;
simulation;
5.
R-mask: a new concept and its application for small-volume production
机译:
R-mask:小批量生产的新概念及其应用
作者:
K. Hayano
;
S. Hotta
;
N. Hasegawa
;
K. Hosono
;
T. Tanaka
;
K. Suko
;
S. Sasaki
;
H. Mohri
;
M. Hoga
;
N. Hayashi
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
R-mask;
durability;
mask cost;
turn-around time;
CD uniformity;
partial R-mask;
6.
Single Exposure General Vortex Phase-shift Mask for Contact Hole
机译:
接触孔的单次曝光通用涡流相移掩模
作者:
Yong Liu
;
Dun Liu
;
James Hu
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
Contact hole;
via;
vortex;
PSM;
single exposure;
double line-space;
random layout;
7.
Sensitivity of the 65nm poly line printability to sPSM manufacturing errors
机译:
65nm折线可印刷性对sPSM制造错误的敏感性
作者:
Nadya Belova
;
John Jensen
;
Ebo Croffie
;
Neal Callan
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
molotof;
strong PSM;
statistical analysis;
8.
Qualifying OPC Model Robustness to Reticle Noise Errors and FAB Process Changes
机译:
合格的OPC模型的鲁棒性,以消除十字线噪声错误和FAB工艺变化
作者:
Diane M. Keil
;
Nadya Belova
;
John V. Jensen
;
Neal P. Callan
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
9.
Rasterizing for SLM-based mask-making and maskless lithography
机译:
光栅化基于SLM的掩模制作和无掩模光刻
作者:
Hans Martinsson
;
Tor Sstrom
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
maskless;
SLM;
spatial light modulator;
direct-writing;
rasterizing;
phase-shifting;
data path;
10.
Predicting Compute Resource Usage
机译:
预测计算资源使用率
作者:
Al Reich
;
Chi-Min Yuan
;
Robert Boone
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
resolution enhancement technology;
RET;
optical proximity correction;
OPC;
GDSII;
design complexity;
resource usage prediction;
11.
Prediction of Design Sensitivity to altPSM Lithography Across Process Window
机译:
跨工艺窗口对altPSM光刻的设计敏感性的预测
作者:
Pat LaCour
;
Nick Cobb
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
12.
Printability of topography in alternating aperture phase-shift masks
机译:
交替孔径相移掩模中形貌的可印刷性
作者:
Vicky Philipsen
;
Rik Jonckheere
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask quality printability;
mask topography;
alternating aperture phase-shift masks;
image imbalance;
193nm lithography;
157nm lithography;
rigorous 3d mask simulation;
13.
Process window modeling using compact models
机译:
使用紧凑模型进行过程窗口建模
作者:
J. res Torres
;
Thomas Roessler
;
Yuri Granik
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
DFY;
process window;
low k1;
compact models;
vector models;
OPC;
DFM;
RET;
resist models;
microlithography;
optical models;
14.
Performance optimization for gridded-layout standard cells
机译:
网格布局标准单元的性能优化
作者:
Jun Wang
;
Alfred K. Wong
;
Edmund Y. Lam
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
design for manufacturability (DFM);
resolution enhancement techniques (RETs);
low-k_1 lithography;
gridded layout;
design rules;
template lithography;
multiple exposures;
standard cells;
MOSFETs scaling;
leakage current;
circuit performance;
15.
Phase-Defocus Windows for Alternating Phase Shifting Mask
机译:
相移窗口,用于交替移相掩模
作者:
Fu-Jye Liang
;
Chun-Kuang Chen
;
Jaw-Jung Shin
;
Jan-Wen You
;
Chun-Heng Lin
;
Zhin-Yu Pan
;
King-Chang Shu
;
Tsai-Sheng Gau
;
Burn J. Lin
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
16.
Patterning Performance of Most Recent E-Beam Sensitive CARs for Advanced Mask Making - An Update
机译:
最新电子束敏感汽车的图案性能,可用于先进口罩-更新
作者:
Anatol Schwersenz
;
Joerg Butschke
;
Axel Feicke
;
Mathias Irmscher
;
Peter Voehringer
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
positive-tone;
negative-tone;
chemically amplified resist;
E-beam;
mask process;
17.
Pellicle choice for 193-nm immersion lithography photomasks
机译:
193 nm浸没式光刻光罩的薄膜选择
作者:
Eric Cotte
;
Ruediger Haessler
;
Benno Utess
;
Gunter Antesberger
;
Frank Kromer
;
Silvio Teuber
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
pellicle;
distortions;
photomask;
gasket;
frame flatness;
mechanical testing;
18.
MEEF-based mask inspection
机译:
基于MEEF的面膜检查
作者:
Wilhelm Maurer
;
James Word
;
Steffen Schulze
;
Shumay Shang
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask inspection;
MEEF;
OPC;
19.
Mask defect inspection study with high speed mask inspection system
机译:
高速掩模检测系统的掩模缺陷检测研究
作者:
Jeayoung Jun
;
Hyunchul Kim
;
Sungjin Choi
;
Yongkyoo Choi
;
Oscar Han
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
blank mask inspection;
FEP blank mask inspection;
20.
Maskless Lithography with Solid Immersion Lens Nano Probes
机译:
固体浸没透镜纳米探针的无掩模光刻
作者:
Tom Milster
;
Tao Chen
;
Dongseok Nam
;
Ed Schlesinger
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
maskless lithography;
solid immersion lens;
near-field transducers;
21.
Joining the Design and Mask Flows for Better and Cheaper Masks
机译:
结合设计和蒙版流程,获得更好和更便宜的蒙版
作者:
P. Gupta
;
A. B. Kahng
;
C.-H. Park
;
P. Sharma
;
D. Sylvester
;
J. Yang
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
22.
Integrating RET and Mask Manufacturability in Designs for local interconnect for sub-100nm trenches
机译:
将RET和掩模可制造性集成到设计中以实现100nm以下沟槽的局部互连
作者:
Nishrin Kachwala
;
Travis Brist
;
Rick Farnbach
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
design for manufacturing;
interconnect;
trenches;
OPC;
PSM;
RET;
23.
EUV Mask Pilot Line at Intel Corporation
机译:
英特尔公司的EUV面罩试验线
作者:
Alan R. Stivers
;
Pei-Yang Yan
;
Guojing Zhang
;
Ted Liang
;
Emily Y. Shu
;
Edita Tejnil
;
Barry Lieberman
;
Rajesh Nagpal
;
Kangmin Hsia
;
Michael Penn
;
Fu-Chang Lo
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
24.
Evaluation of a new generation, photomask develop system for CAR
机译:
评估用于CAR的新一代光掩模开发系统
作者:
Rusty Cantrell
;
Martin Tschinkl
;
Axel Feicke
;
Wolfram Porsche
;
Gaston Lee
;
Tatsuhito Kotoda
;
Peter Tichy
;
Takahiro Fukai
;
Shigenori Kamei
;
Harry Asai
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
photomask;
develop;
puddle;
TEL;
AMTC;
chemically amplified resist;
PCAR;
DUV;
50KeV;
dark loss;
CDs;
defects;
25.
Effect of UV/O_3 treatment on mask surface to reducing sulfuric residue ions
机译:
UV / O_3处理对面膜表面的还原残留硫离子的影响
作者:
Dong Wook Lee
;
Ho Yong Jung
;
Mun Sik Kim
;
Jun Sik Lee
;
Yong Kyoo Choi
;
Oscar Han
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
UV/O_3 treatment;
MoSiN surface;
sulfuric residue ions;
26.
E-beam lithography experimental results and simulation for the 45 nm node
机译:
45纳米节点的电子束光刻实验结果和仿真
作者:
John Nistler
;
Chia-Jen Chen
;
Sergey Vychub
;
Hsin-Chang Lee
;
Lee-Chih Yeh
;
Hung-Chang Hsieh
;
Christoph Sambale
;
Uli Hoffman
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
lithography modeling;
E-beam lithography;
process control;
simulation;
27.
E-Beam Mask Repair: Fundamental Capability and Applications
机译:
电子束面罩维修:基本功能和应用
作者:
Ted Liang
;
Eric Frendberg
;
Dan Bald
;
Michael Penn
;
Alan Stivers
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask repair;
electron beam etch and deposition;
electron beam mask repair;
quartz etch;
EUV mask;
28.
Development of a Complementary Phase Shift Mask Process for 90nm Node Technology
机译:
90nm节点技术的互补相移掩模工艺的开发
作者:
Ruoping Wang
;
Cece Philbin
;
Chong-Cheng Fu
;
Bill Wilkinson
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
complementary phase shift mask;
OPC;
intensity imbalance;
verification;
29.
Device Analysis: A Way to Reduce Patterning Cost at Mask and Wafer Level ?
机译:
器件分析:一种降低掩模和晶圆级构图成本的方法?
作者:
Artur Balasinski
;
Frank Driessen
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
electrical simulation;
cell layout;
poly gate;
MOSFET;
endcaps;
cost reduction;
30.
Cost Effective Overlay and CD Metrology on Phase-Shifting Masks
机译:
具有成本效益的叠加和相移掩模CD计量
作者:
Martin McCallum
;
Stewart Smith
;
rew Hourd
;
Anthony J.Walton
;
J.Tom M. Stevenson
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
31.
CHARACTERIZING THE DEMONS IN HIGH-NA PHASE-SHIFTING MASKS
机译:
表征高NA相移掩膜中的恶魔
作者:
Garth C. Robins
;
rew R. Neureuther
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
aberration;
image;
phase-shifting mask;
interference;
aberration monitor;
zernike aberrations;
printable artifact;
high-NA;
resist image;
focus monitor;
intensity imbalance;
illumination;
32.
Chromeless Phase Lithography reticle defect inspection challenges and solutions
机译:
无铬相位光刻掩模版缺陷检查挑战和解决方案
作者:
Larry Zurbrick
;
Anthony Vacca
;
Bryan Reese
;
Doug Van Den Broeke
;
Stephen Hsu
;
Darren Taylor
;
Bryan Kasprowicz
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
chromeless phase lithography;
CPL;
defect inspection;
test masks;
33.
Conformal Mapping in Microlithography
机译:
微光刻中的保形映射
作者:
Asher Klatchko
;
Peter Pirogovsky
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
photolithography;
corner rounding;
OPC;
conformal mapping;
laplacian;
34.
Comprehensive Defect Detection featuring Die-to-Database Reflected Light Inspection
机译:
具有模具到数据库反射光检查功能的全面缺陷检测
作者:
Anthony Vacca
;
Darren Taylor
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
reticle;
defect inspection;
database inspection;
35.
Calibrating Grayscale Direct Write Bimetallic Photomasks to Create 3D Photoresist Structures
机译:
校准灰度直写双金属光掩模以创建3D光致抗蚀剂结构
作者:
Yuqiang Tu
;
Glenn Chapman
;
James Dykes
;
David Poon
;
Chinheng Choo
;
Jun Peng
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
direct-write photomask;
precise micromachining;
MEMS;
grayscale photomask;
36.
ArF lithography reticle crystal growth contributing factors
机译:
ArF光刻掩模版晶体生长的影响因素
作者:
Florence Eschbach
;
Daniel Selassie
;
Peter Sanchez
;
Daniel Tanzil
;
Vikram Tolani
;
Mahmood Toofan
;
Huiying Liu
;
Barbara Greenebaum
;
Michael Murray
;
Raul Villacorta
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
photomask cleaning;
crystals;
photoinduced defects;
pellicle;
37.
Accelerating yield ramp through design and manufacturing collaboration
机译:
通过设计和制造协作加快产量增长
作者:
Robin C. Sarma
;
Huixiong Dai
;
Michael C. Smalying
;
Michael P. Duane
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
in-line monitoring;
laser mask pattern generation;
OPC;
RET;
systematic defect;
test diagnostics;
yield ramp;
38.
AAPSM Repair Utilizing Transparent Etch Stop Layer
机译:
利用透明蚀刻停止层进行AAPSM修复
作者:
Darren Taylor
;
Michael Cangemi
;
Matthew Lassiter
;
Marc Cangemi
;
Eric Poortinga
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
AAPSM;
TESL;
cPSM;
CPL;
39.
A Multi-objective Floorplanner for Shuttle Mask Optimization
机译:
用于穿梭面罩优化的多目标平面规划器
作者:
Gang Xu
;
Ruiqi Tian
;
David Z. Pan
;
Martin D.F. Wong
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
shuttle mask;
floorplanning;
simulated annealing;
multi-objective;
40.
Full-chip Manufacturing Reliability Check and Correction (MRC~(2 TM))- a First Step towards Design for Manufacturability with Low k_1 Lithography
机译:
全芯片制造可靠性检查和校正(MRC〜(2 TM))-低k_1光刻技术可制造性设计的第一步
作者:
Michael Hsu
;
Tom Laidig
;
Kurt E. Wampler
;
Stephen Hsu
;
Xuelong Shi
;
J. Fung Chen
;
Doug Van Den Broeke
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
CPL;
DDL;
manufacturing reliability check correction;
MRC~2;
41.
Focused ion beam repair of binary chrome defects for the 65nm node
机译:
聚焦离子束修复65nm节点的二元铬缺陷
作者:
David C. Ferranti
;
Jeffrey G. Marshman
;
Roth W. Lanphear
;
Kenneth G. Donahue
;
Stephen A. Bachman
;
Sharon M. Szelag
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask repair;
FIB;
opaque defects;
chrome defects;
AIMS;
printability;
42.
FEP-171 Resist Thickness Optimization and Dry Etch Screening on NTAR7 Chrome Substrates for Sigma7300 DUV Laser Pattern Generator
机译:
FEP-171用于Sigma7300 DUV激光图案发生器的NTAR7镀铬基板上的抗蚀剂厚度优化和干法蚀刻筛选
作者:
Johan Karlsson
;
Kezhao Xing
;
Adisa Bajramovic
;
Henrik Dahlberg
;
Charles Bjoernberg
;
Peter Hoegfeldt
;
Lars Kjellberg
;
Hans Fosshaug
;
Anna Dahlberg
;
Axel Lundvall
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
NTAR7;
FEP-171;
swing curve;
dry etch;
chrome profile;
43.
Gas flow modeling for focused ion beam (FIB) repair processes
机译:
聚焦离子束(FIB)修复过程的气流建模
作者:
Mohamed S. El-Morsi
;
Alexer C. Wei
;
Gregory F. Nellis
;
Roxann L. Engelstad
;
Sybren Sijbrij
;
Diane Stewart
;
Hans Mulders
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
focused ion beam;
computational fluid dynamics;
slip walls;
44.
Full Chip Model Based Correction of Flare-Induced Linewidth Variation
机译:
基于全芯片模型的喇叭口引起的线宽变化校正
作者:
James Word
;
Jerome Belledent
;
Yorick Trouiller
;
Wilhelm Maurer
;
Yuri Granik
;
Emile Sahouria
;
Olivier Toublan
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
OPC;
flare;
long range;
density;
model based;
photolithography;
45.
Wavelength Dependent Spot Defects on Advanced Embedded Attenuated Phase-Shift Masks
机译:
高级嵌入式衰减型相移掩模的波长相关光斑缺陷
作者:
Christopher Magg
;
Jason Benz
;
Louis Kindt
;
Adam Smith
;
Jay Burnham
;
Jeffrey Riendeau
;
Christy Johnson
;
Rick Kontra
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
defects;
photomasks;
phase-shift;
EAPSM;
inspection;
46.
Yield- and Cost-Driven Fracturing for Variable Shaped-Beam Mask Writing
机译:
屈服和成本驱动的压裂可变形束掩模写作
作者:
rew B. Kahng
;
Xu Xu
;
Alex Zelikovsky
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
47.
Writing strategy and electron-beam system with an arbitrarily shaped beam
机译:
具有任意形状光束的写入策略和电子束系统
作者:
Sergey Babin
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
electron beam lithography;
EBL system;
variably shaped beam;
48.
The impact of mask topography on binary reticles at the 65nm node
机译:
掩模形貌对65nm节点上的二元掩模版的影响
作者:
Mark D. Smith
;
Jeffrey D. Byers
;
Chris A. Mack
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
kirchhoff approximation;
thin-mask approximation;
mask simulation;
maxwell equations;
PROLITH;
49.
Next generation DUV ALTA mask patterning capabilities
机译:
下一代DUV ALTA掩模图案形成功能
作者:
Paul C. Allen
;
Mike Bohan
;
Eric R. Christenson
;
H. Christopher Hamaker
;
Sam C. Howells
;
Boaz Kenan
;
Peter Pirogovsky
;
Malik K. Sadiq
;
Robin Teitzel
;
Michael White
;
Michael Ungureit
;
Alan Wickstrom
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
CD uniformity;
CW laser;
DUV;
mask pattern generation system;
multi-beam;
OPC;
pattern fidelity;
50.
Model-assisted Complementary Double Exposure with Source Optimization
机译:
源优化的模型辅助互补双曝光
作者:
J. res Torres
;
Yuri Granik
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
RET;
model assisted decomposition;
OPC;
double exposure;
DFM;
process window;
low k1;
source optimization;
51.
Mask Industry Assessment: 2004
机译:
口罩行业评估:2004年
作者:
Gilbert Shelden
;
Scott Hector
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
52.
Mask Topography Effect in Chromeless Phase Lithography
机译:
无铬相光刻中的掩模形貌效应
作者:
Vicky Philipsen
;
Joost Bekaert
;
Geert Venberghe
;
Rik Jonckheere
;
Douglas Van Den Broeke
;
Robert Socha
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask quality printability;
mask topography;
chromeless phase shift masks;
193nm lithography;
rigorous 3D mask EMF simulation;
53.
Design, Mask, and Manufacturability
机译:
设计,掩模和可制造性
作者:
Mark Ma
;
Hyesook Hong
;
Yong Seok Choi
;
Chi-Chien Ho
;
Mark Mason
;
Ry McKee
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
design;
mask;
manufacturability;
RET;
OPC;
PSM;
54.
DIVAS: Fully Automated Simulation Based Mask Defect Dispositioning and Defect Management System
机译:
DIVAS:基于全自动仿真的掩模缺陷处理和缺陷管理系统
作者:
Saghir Munir
;
Dan Bald
;
Vikram Tolani
;
Firoz Ghadiali
;
Barry Lieberman
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
simulation;
image processing;
DIVAS;
callas;
primadonna;
55.
Double Dipole Lithography for 65nm Node and beyond: Defect Sensitivity Characterization and Reticle Inspection
机译:
适用于65nm及以上节点的双偶极光刻技术:缺陷灵敏度表征和光罩检查
作者:
Stephen Hsu
;
Tsann-bin Chu
;
Douglas Van Den Broeke
;
J. Fung Chen
;
Michael Hsu
;
Noel Corcoran
;
William Volk
;
Wayne Ruch
;
Jean-Paul Sier
;
Carl Hess
;
Benjamin Lin
;
C.C. Yu
;
George Huang
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
double dipole lithography (DDL);
sub-resolution grating block (SGB);
scattering bars;
SB OPC;
shielding;
model-based layout conversion;
model-based OPC;
defect printability;
defect inspection;
56.
Distributed processing in integrated data preparation flow
机译:
集成数据准备流程中的分布式处理
作者:
Steffen Schulze
;
George E. Bailey
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
RET;
MDP;
DRC;
OPC;
parallel processing;
distributed;
multithreaded;
scalability;
unix;
linux;
TAT;
57.
Contact and Via Hole Mask Design Optimization for 65nm Technology Node
机译:
65nm技术节点的接触和通孔掩模设计优化
作者:
Douglas Van Den Broeke
;
Xuelong Shi
;
Robert Socha
;
Tom Laidig
;
Uwe Hollerbach
;
Kurt E. Wampler
;
Stephen Hsu
;
J. Fung Chen
;
Noel Corcoran
;
Mircea Dusa
;
Jung Chul Park
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
interference mapping;
IML technology;
CPL;
off-axis illumination;
QUASAR;
resolution enhancement technique;
RET;
PSM;
model OPC;
assist features;
58.
Chrome Dry Etching for 65 nm Node Mask Manufacturing
机译:
用于65 nm节点掩模制造的Chrome干法蚀刻
作者:
Thomas Faure
;
Emily Fisch
;
Cuc Huynh
;
Shaun Crawford
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
chrome dry etching;
65 nm node photomask;
59.
Can we afford to replace chrome?
机译:
我们能负担得起代替铬吗?
作者:
Gilbert Shelden
;
Long He
;
Scott Hector
;
Walt Trybula
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
chromium;
photomask blanks;
cost of ownership;
60.
Applications Using 2D Contact CDSEM Images
机译:
使用2D接触CDSEM图像的应用
作者:
Chris Haidinyak
;
Cyrus Tabery
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask;
GDSII;
CDSEM;
contact SEM;
SEM image analysis;
OPC;
OPC validation;
61.
Advanced PhotoMask Cleaning
机译:
先进的PhotoMask清洁
作者:
Pat Marmillion
;
Walt Trybula
;
Brian Grenon
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask cleaning;
wafer cleaning;
193 nm;
157nm;
particle removal;
contamination;
62.
Aerial-image-based inspection on subresolution scattering bars
机译:
基于航空影像的亚分辨率散射条检查
作者:
Luke T.H. Hsu
;
C.C. Li
;
Anja Rosenbusch
;
Yuval Bloomberg
;
Simon Kurin
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
microlithography;
mask inspection;
aerial-image inspection;
subresolution features;
scattering bars;
hollow scattering bars;
mask defects;
63.
Sophisticated Yield Analysis through Novel Data Clustering and Visualization
机译:
通过新颖的数据聚类和可视化进行复杂的产量分析
作者:
Michael L. Jacobson
;
Jian Ma
;
Richard P. Rodrigues
;
Robert L. ODonnell
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
treemap;
yield;
information visualization;
commonality studies;
64.
Reduction of Radial CD Errors and Cr Loading Effects in 90 nm Binary NCAR Mask Process through Chrome Etch DOE
机译:
通过Chrome Etch DOE降低90 nm二元NCAR掩模工艺中的径向CD误差和Cr加载效应
作者:
Jian Ma
;
Chaoyang Li
;
Larry Bassist
;
Matthew Pekney
;
Nathan Wilcox
;
Jeff Farnsworth
;
Edward Lauder
;
B. Krishnakumar
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask;
critical dimension;
radial;
error;
correlation;
chrome etch;
RF power;
over-etch;
DOE;
65.
Resist Model Calibration using 2D Developed Patterns for Process Optimization and Wafer Printing Predications
机译:
使用2D开发的图案进行抗蚀剂模型校准,以进行工艺优化和晶圆印刷预测
作者:
Ting Chen
;
Doug Van Den Broeke
;
Sean Park
;
Armin Liebchen
;
Fung Chen
;
Stephen Hsu
;
Jung Chul Park
;
Linda Yu
;
Keith Gronlund
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
resist model calibration;
developed resist pattern;
lithography simulation;
optical proximity correction;
OPC;
diffractive optical element;
DOE;
quasar illumination;
C-Quad illumination;
lumped parameter model;
LPM;
high NA vector;
chromeless phase litho;
66.
Simple method for restricting OPC model minimum spacing and width for a no failure imaging solution
机译:
限制OPC模型最小间距和宽度的无故障成像解决方案的简单方法
作者:
Nadya Belova
;
Neal Callan
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
OPC;
minimum spacing and width;
67.
Quartz etch process to improve etch depth linearity and uniformity using Mask Etcher IV
机译:
使用Mask Etcher IV进行石英蚀刻工艺以提高蚀刻深度的线性度和均匀性
作者:
Sunil Srinivasan
;
Jason Plumhoff
;
Russ Westerman
;
Dave Johnson
;
Chris Constantine
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
quartz etch;
etch uniformity;
68.
Quantitative analysis of develop loading effect and its application
机译:
发育负荷效应的定量分析及其应用
作者:
Hak-Seung Han
;
Se-Gun Moon
;
Je-Bum Yoon
;
Byung-Gook Kim
;
Seong-Yong Moon
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
develop;
loading;
puddle;
fan process;
critical dimension;
fogging;
69.
PMJ 2004 Panel Overview 'Issues on mask technology for 65nm lithography with ArF'
机译:
PMJ 2004小组概述“关于使用ArF进行65nm光刻的掩模技术问题”
作者:
Hisashi Watanabe
;
Hidehiro Watanabe
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
mask technology;
65nm;
ArF;
immersion;
PSM;
70.
Phase defect printability and Mask inspection capability of 65nm technology node Alt-PSM for ArF lithography
机译:
用于ArF光刻的65nm工艺节点Alt-PSM的相缺陷可印刷性和掩模检查能力
作者:
Shinji Akima
;
Tooru Komizo
;
Saburo.Kawakita
;
Yutaka Kodera
;
Tsuyoshi Narita
;
Kiichi Ishikawa
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
71.
Phase-shifting Optical Maskless Lithography enabling ASICs at the 65 and 45 nm nodes
机译:
相移光学无掩模光刻技术可在65和45 nm节点上实现ASIC
作者:
Tor Sstrom
;
Ulric Ljungblad
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
maskless lithography;
SLM;
spatial light modulator;
PSM;
phase-shifting;
tilting;
tilt-mirror;
micro-mirror;
OPC;
65nm;
72.
OPC Model Calibration for CPL Patterning at Extreme Low K_1
机译:
OPC模型校准,用于在极低的K_1下进行CPL图案化
作者:
Xuelong Shi
;
Tom Laidig
;
J. Fung Chen
;
Doug Van Den Broeke
;
Stephen Hsu
;
Michael Hsu
;
Kurt Wampler
;
Uwe Hollerbach
;
J.C.Park
;
Linda Yu
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
model OPC;
eigen decomposition model;
low k_1;
CPL;
DDL;
CD;
real pupil;
customized illumination;
vector high NA;
73.
New solutions for inspection contrast tuning, enhanced chemical durability and a new ultra high transmission PSM
机译:
检查对比度调整的新解决方案,增强的化学耐久性和新的超高透射率PSM
作者:
Hans Becker
;
Pascal Schley
;
Frank Schmidt
;
Frank Sobel
;
Markus Renno
;
Nathalie Olschewski
;
Holger Seitz
;
Ute Buttgereit
;
Konrad Knapp
;
Guenter Hess
会议名称:
《24th Annual BACUS Symposium on Photomask Technology pt.1》
|
2004年
关键词:
phase shift mask;
high transmission;
inspection;
193 nm;
PSM;
意见反馈
回到顶部
回到首页