掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012
IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012
召开年:
2012
召开地:
Xi'an(CN);Xi'an(CN)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
An 11.7–17.2GHz digitally-controlled oscillator in 65nm CMOS for high-band UWB applications
机译:
65nm CMOS的11.7-17.2GHz数字控制振荡器,用于高带宽UWB应用
作者:
Lin Deyuan
;
Xu Ni
;
Rhee Woogeun
;
Wang Zhihua
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
2.
A 25–28Gbps clock and data recovery system with embedded equalization in 65-nm CMOS
机译:
具有65-nm CMOS嵌入式均衡功能的25–28Gbps时钟和数据恢复系统
作者:
Sun Li
;
Pan Alex
;
Wang Keh Chung
;
Yue C. Patrick
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
3.
Magnetic domain-wall racetrack memory for high density and fast data storage
机译:
磁畴壁赛道存储器,可实现高密度和快速数据存储
作者:
Zhao W. S.
;
Zhang Y.
;
Trinh H-P
;
Klein J-O
;
Chappert C.
;
Mantovan R.
;
Lamperti A.
;
Cowburn R. P.
;
Trypiniotis T.
;
Klaui M.
;
Heinen J.
;
Ocker B.
;
Ravelosona D.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
4.
A positive-peak detector with adaptive micro-current compensator for wideband VCOs
机译:
具有自适应微电流补偿器的宽带VCO的正峰值检测器
作者:
Wang De-zhi
;
Zhang Ke-feng
;
Zhou Gong-hai
;
Zou Xue-cheng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
5.
A power and area efficient CMOS charge-pump phase-locked loop
机译:
功率和面积高效的CMOS电荷泵锁相环
作者:
Hua Siliang
;
Yang Hua
;
Liu Yan
;
Li Quanquan
;
Wang Donghui
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
6.
Characterisation of electron traps in high-k dielectric stacks for Flash memory applications using fast pulse techniques
机译:
使用快速脉冲技术表征用于闪存应用的高k电介质堆栈中的电子陷阱
作者:
Zhang W. D.
;
Robinson C.
;
Zheng X. F.
;
Zhang J. F.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
7.
A 90-#x03BC;W 16 bit 20 KHz-BW feedforward double-sampled sigma-delta modulator in 0.18 CMOS
机译:
采用0.18 CMOS的90μW16位20 KHz-BW前馈双采样sigma-delta调制器
作者:
Xu Long
;
Liu Fei
;
Yang Hai-gang
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
8.
On state output characteristics and transconductance analysis of high voltage (600V) SJ-VDMOS
机译:
高压(600V)SJ-VDMOS的状态输出特性和跨导分析
作者:
Zhang Long
;
Yu Huilin
;
Wu Yifan
;
Yang Zhuo
;
Zhu Jing
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
9.
An Low-Power 128Kb SRAM with 0.2um FDSOI and its TID radiation response
机译:
具有0.2um FDSOI的低功耗128Kb SRAM及其TID辐射响应
作者:
Kai Zhao
;
Ning Li
;
Ning Qiao
;
Jiantou Gao
;
Bo Yang
;
Fang Yu
;
Zhongli Liu
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
10.
Si Nanowire tunnel FETs with epitaxial NiSi2 source/drain and dopant segregation
机译:
具有外延NiSi2源/漏和掺杂剂隔离的Si纳米线隧道FET
作者:
Knoll L.
;
Zhao Q. T.
;
Richter S.
;
Trellenkamp S.
;
Schafer A.
;
Bourdelle K. K.
;
Mantl S.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
11.
A novel latch-up free SCR-LDMOS for power-rail ESD clamp in half-bridge driver IC
机译:
用于半桥驱动器IC中的电源轨ESD钳位的新型无闩锁SCR-LDMOS
作者:
Liu Si-Yang
;
Sun Wei-Feng
;
Pan Hong-Wei
;
Wang Hao
;
Qian Qin-Song
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
12.
Study of reliability in superjunction power VDMOSFET
机译:
超结功率VDMOSFET的可靠性研究
作者:
Fan Zeng-Yi
;
Chu Zheng-Yu
;
Luo Jing
;
Cao Gang
;
Liao Scott
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
13.
The SuperJunction MOS-controlled thyristor (SJ-MCT) with low power loss for high-power switching applications
机译:
SuperJunction MOS控制晶闸管(SJ-MCT)具有低功耗,适用于大功率开关应用
作者:
Chen Wanjun
;
Zhang Jinhan
;
Zhang Bo
;
Jiang Huaping
;
Li Zhaoji
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
14.
Investigations on the correlation between line-edge-roughness (LER) and line-width-roughness (LWR) in nanoscale CMOS technology
机译:
纳米CMOS技术中线边缘粗糙度(LER)和线宽粗糙度(LWR)之间的相关性研究
作者:
Jiang Xiaobo
;
Li Meng
;
Wang Runsheng
;
Chen Jiang
;
Huang Ru
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
15.
Modeling and separate extraction of bias-dependent and bias-independent S/D resistances in MOSFETs
机译:
建模和分别提取MOSFET中与偏置相关和与偏置无关的S / D电阻
作者:
Guo Zebang
;
Ye Zuochang
;
Li Xiaojian
;
Wang Yan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
16.
A compact model for the STI y-stress effect on deep submicron PDSOI MOSFETs
机译:
对深亚微米PDSOI MOSFET的STI y应力影响的紧凑模型
作者:
Bu Jianhui
;
Bi Jinshun
;
Ma Xianjun
;
Luo Jiajun
;
Han Zhengsheng
;
Cai Haogang
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
17.
Numerical simulation of DB-NBTI degradation caused by a sheet of interface charge
机译:
一片界面电荷导致DB-NBTI退化的数值模拟
作者:
Huang Si-Wen
;
He Wei
;
Zhao Xiao-Jin
;
Cao Jian-Min
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
18.
The short-channel threshold voltage model for junctionless surrounding-gate MOSFETs
机译:
无结环绕栅MOSFET的短通道阈值电压模型
作者:
Chiang T. K.
;
Chang D. H.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
19.
Simulation study of Junctionless Vertical MOSFETS for analog applications
机译:
用于模拟应用的无结垂直MOSFET的仿真研究
作者:
Syu Shu-Huan
;
Lin Jyi-Tsong
;
Eng Yi-Chuen
;
Hsu Shih-Wen
;
Chen Kuan-Yu
;
Lu You-Ren
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
20.
Experimental study on the variation of NBTI degradation in nano-scaled high-K/metal-gate PFETs
机译:
纳米级高K /金属栅PFET中NBTI降解变化的实验研究
作者:
Liu Changze
;
Ren Pengpeng
;
Wang Runsheng
;
Huang Ru
;
Wang Jianping
;
Wu Jingang
;
Wang Yangyuan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
21.
Experimental observation on the random dopant fluctuation of small scale trigate CMOS devices
机译:
小型三栅极CMOS器件随机掺杂波动的实验观察
作者:
Chung Steve S.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
22.
High performance of large-sized dye sensitized solar cells based on a novel low-cost metal grids manufactured process
机译:
基于新型低成本金属栅格制造工艺的大型染料敏化太阳能电池的高性能
作者:
Du Guangwei
;
Wang Yan
;
Lu Ziqing
;
Yang Fei
;
Wang Xu
;
Liu Lifeng
;
Han Dedong
;
Wang Yi
;
Zhang Xing
;
Kang Jinfeng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
23.
A CIGS thin film solar cell with dual absorber layers
机译:
具有双吸收层的CIGS薄膜太阳能电池
作者:
Wang Jian-Yuan
;
Lin Jyi-Tsong
;
Kuo Yu-Sheng
;
Pai Ching-yao
;
Eng Yi-Chuen
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
24.
Morphological and optical properties of silicon nanoholes produced by Pt-nanoparticles assisted chemical etching
机译:
铂纳米粒子辅助化学刻蚀产生的硅纳米孔的形貌和光学性质
作者:
Zhu Bao
;
Li Lian-Jie
;
Ding Shi-Jin
;
Zhang Wei
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
25.
A reduced surface current LDMOS with stronger ESD robustness
机译:
降低表面电流的LDMOS具有更强的ESD鲁棒性
作者:
Jiang Lingli
;
Fan Hang
;
He Chuan
;
Zhang Bo
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
26.
Process optimization for random threshold voltage variation reduction in nanoscale MOSFET by 3D simulation
机译:
通过3D模拟降低纳米MOSFET的随机阈值电压变化的工艺优化
作者:
Li Hui
;
Chen Hao
;
Dong Qing
;
Chen Lele
;
Wang Jianping
;
Kim Jeonggi
;
Yu Shaofeng
;
Wu Jingang
;
Lin Yinyin
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
27.
Rectifying behavior of Ag/MgO/SrRuO3 tunnel junction: First principles modeling of tunnel diode
机译:
Ag / MgO / SrRuO3隧道结的整流行为:隧道二极管的第一原理建模
作者:
Cheng Hongguang
;
Deng Ning
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
28.
A VGS/R induced reference circuit generating both temperature independent voltage and current sources
机译:
一个VGS / R感应参考电路,可产生与温度无关的电压和电流源
作者:
Zhu Wen-rui
;
Yang Hai-gang
;
Gao Tong-qiang
;
Cheng Xin
;
Ye Zhenhua
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
29.
Research on high speed mixed-signal SoC verification based on NanoSim-VCS with a 4.8Gb/s SerDes transmitter
机译:
基于NanoSim-VCS和4.8Gb / s SerDes发送器的高速混合信号SoC验证的研究
作者:
Yuan Shuai
;
Wu Liji
;
Wang Ziqiang
;
Zhang Xiangmin
;
Zheng Xuqiang
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
30.
Multi-mode timing closure of D6000 Collective Communication Chip
机译:
D6000集体通信芯片的多模式时序收敛
作者:
Yang Jia
;
Shen Hua
;
Liu Li-ke
;
You Ding-shan
会议名称:
《》
|
2012年
31.
Critical area-constrained redundant via insertion
机译:
通过插入限制关键区域的冗余
作者:
Su Yong-Bang
;
Wang Jun-Ping
;
Liu Shi-Gang
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
32.
Formation of NiSiGe on compressivly strained SiGe thin layers
机译:
在压缩应变的SiGe薄层上形成NiSiGe
作者:
Du Xiong-Xiong
;
Sun Lei
;
Wang Yi
;
Knoll Lars
;
Mussler Gregor
;
Hollaeder Bernd
;
Mantl Siegfried
;
Zhao QingTai
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
33.
A 13GHz 38mW differential front-end amplifer based on 0.18um SiGe BiCMOS For 15Gb/s optical receiver
机译:
基于0.18um SiGe BiCMOS的13GHz 38mW差分前端放大器,用于15Gb / s光接收器
作者:
Kang Yu-Zhuo
;
Mao Lu-Hong
;
Zhang Shi-Lin
;
Xie Sheng
;
Xiao Xin-Dong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
34.
A novel baseband digital signal processor IC for HINOC
机译:
用于HINOC的新型基带数字信号处理器IC
作者:
Yang Chun
;
Zhang Cheng
;
Sun Hanwen
;
Cui Xiaoxin
;
Zhang Shi
;
Pan Weitao
;
Zhang Bing
;
Qiu Zhiliang
;
Li Hongbin
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
35.
A 40-Gb/s low-power wireline transceiver architecture with multi-phase injection-locked clocking scheme
机译:
具有多相注入锁定时钟方案的40 Gb / s低功耗有线收发器架构
作者:
Gai Wei-Xin
;
Han Te
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
36.
Understanding effect of additives in copper electroplating filling for through silicon via
机译:
了解添加剂在硅通孔电镀铜填充中的作用
作者:
Miao Min
;
Zhu Yunhui
;
Bian Yuan
;
Sun Xin
;
Ma Shenglin
;
Cui Qinghu
;
Zhong Xiao
;
Fang Runiu
;
Chen Jing
;
Jin Yufeng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
37.
Interface circuit design and implementation for MEMS pressure sensor in tire pressure monitoring system
机译:
轮胎压力监测系统中MEMS压力传感器的接口电路设计与实现
作者:
Yu Xiao
;
Wu Liji
;
Zhang Xiangmin
;
Li Bo
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
38.
A low-power power management circuit for SoC in Tire Pressure Monitoring System
机译:
轮胎气压监测系统中用于SoC的低功耗电源管理电路
作者:
Ding Xu-Yang
;
Wu Li-Ji
;
Zhang Xiang-Min
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
39.
A power-scalable reconfigurable active-RC complex band-pass filter for a multimode GNSS receiver
机译:
适用于多模式GNSS接收机的功率可伸缩的可重配置有源RC复合带通滤波器
作者:
Chen Zhou
;
Chi Baoyong
;
Xu Yang
;
Qi Nan
;
Xie Jun
;
Chen Lei
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
Complex Band-pass filter;
Global Navigation Satellite Systems (GNSS) receiver;
power scalable;
reconfigurable;
40.
A 36nV/#x221A;Hz noise PSD chopper-stabilized CMOS amplifier with a new ripple-spur-filter scheme
机译:
具有新纹波杂散滤波器方案的36nV /√Hz噪声PSD斩波稳定的CMOS放大器
作者:
Sun Peng
;
Zhao Menglian
;
Wu Xiaobo
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
41.
Insights into stress-induced degradation of STI-based LDMOSFETs by MR-DCIV spectroscopy
机译:
MR-DCIV光谱对应力诱发的STI基LDMOSFET退化的见解
作者:
He Yandong
;
Han Lin
;
Zhang Ganggang
;
Zhang Xing
;
Qi Congming
;
Su Wei
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
42.
Achievement of non-selectivity barrier slurry by adding H3PO4 and its application in patterned wafers CMP
机译:
添加H3PO4的非选择性阻挡浆的实现及其在图案化CMP中的应用
作者:
Niu Xinhuan
;
Wang Chenwei
;
Wang Juan
;
Lu Guoqi
;
Liu Yuling
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
43.
An overview of challenges and current status of Si-based terahertz monolithic integrated circuits
机译:
硅基太赫兹单片集成电路的挑战和现状概述
作者:
Rieh Jae-Sung
;
Oh Yongho
;
Yoon Daekeun
;
Kim Namhyung
;
Kim Dong-Hyun
;
Yun Jongwon
;
Kim Hyunchul
;
Song Kiryong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
44.
A RC reconstruction filter for a 16-bit audio delta-sigma DAC
机译:
用于16位音频delta-sigma DAC的RC重建滤波器
作者:
Yang Jin
;
Wu Xiaobo
;
Zhao Jinchen
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
SC CMFB;
audio DAC;
chopper;
direct charge transfer;
reconstruction filter;
45.
A low power 45-dB DR all-digital assisted AGC loop for a GNSS receiver in 0.18 um CMOS
机译:
适用于0.18 um CMOS的GNSS接收器的低功耗45dB DR全数字辅助AGC环路
作者:
Jun Xie
;
Baoyong Chi
;
Yang Xu
;
Nan Qi
;
Lei Chen
;
Zhou Chen
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
46.
Design of anti-radiation CMOS pixel on SOI wafer
机译:
SOI晶片上抗辐射CMOS像素的设计
作者:
Tian Li
;
Miao Tianle
;
Wang Hui
;
Wei Jun
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
47.
A low power MCU design for battery-less TPMS SoC
机译:
用于无电池TPMS SoC的低功耗MCU设计
作者:
Ma Peng-Fei
;
Wu Li-Ji
;
Zhang Xiang-Min
;
Zhang Xiao-Rui
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
48.
A high level synthesis method for Reconfigurable Operator Array
机译:
可重构算子阵列的高级综合方法
作者:
Liu Bin
;
Wang Xin-An
;
Yong Shan-Shan
;
Lan Jing
;
Wu Cheng-Hao
;
Zhang Fang-Ni
;
Shi Xiao-Long
;
Lv Wei
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
49.
A design of readout circuit for 384#x00D7;288 uncooled microbolometer infrared focal plane array
机译:
384×288非制冷微辐射热计红外焦平面阵列读出电路设计
作者:
Liu San-Lin
;
Zhang Ya-Cong
;
Meng Xiang-Yun
;
Lu Wen-Gao
;
Chen Zhong-Jian
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
50.
A high accuracy temperature sensor and temperature compensation algorithm embedded in TPMS
机译:
TPMS中嵌入的高精度温度传感器和温度补偿算法
作者:
Chen Jianguang
;
Zhu Zhu
;
Wang Lejing
;
Hao Yueguo
;
Cheng Yuhua
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
51.
An improved driving circuit scheme applied in extreme low-current design on OLED-on-silicon microdisplay
机译:
一种改进的驱动电路方案,应用于硅基OLED微显示器的极低电流设计
作者:
Bohua Zhao
;
Ran Huang
;
Huan Du
;
Jiajun Luo
;
Zhengshen Han
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
52.
40GHz, 12 tuning-range, fully differential low phase-noise LC VCO
机译:
40GHz,12%调谐范围,全差分低相位噪声LC VCO
作者:
Chen Lei
;
Han Siyang
;
Kuang Lixue
;
Chi Baoyong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
LC VCO;
fully differential;
mm-wave;
53.
A stable multi-mode fractional-N frequency synthesizer using charge pump current calibration
机译:
使用电荷泵电流校准的稳定多模分数N频率合成器
作者:
Feng Yan
;
Xu Jiangtao
;
Chen Guican
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
54.
A 3.65 mW 5 bit 2GS/s flash ADC with built-in reference voltage in 65nm CMOS process
机译:
具有65nm CMOS工艺的内置基准电压的3.65 mW 5位2GS / s闪存ADC
作者:
Yang Jiale
;
Chen Yong
;
Qian He
;
Wang Yan
;
Yue Ruifeng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
55.
An improved frame synchronization method based on correlation window division
机译:
一种基于相关窗口划分的改进帧同步方法
作者:
Cui Xiaoxin
;
Sun Bin
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
56.
Effectiveness of reservoir length on electromigration lifetime enhancement for ULSI interconnects with advanced technology nodes
机译:
具有先进技术节点的ULSI互连的储层长度对提高电迁移寿命的有效性
作者:
Tan Cher Ming
;
Fu Chunmiao
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
57.
Cu/Airgap integration on 90nm Cu BEOL process platform
机译:
在90nm Cu BEOL工艺平台上集成Cu / Airgap
作者:
Kang Xiaoxu
;
Zuo Qingyun
;
Wang Xinxue
;
Zeng Shaohai
;
Chen Shoumian
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
58.
Substrate engineering using Smart Cut
TM
and Smart Stacking
TM
for next-generation advanced LSIs
机译:
使用Smart Cut
TM sup>和Smart Stacking
TM sup>进行下一代高级LSI的基板工程
作者:
Yoshimi Makoto
;
Cauchy Xavier
;
Desbonnets Eric
;
Radu Ionut
;
Maleville Christophe
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
59.
Advances in analog mixed signal design solutions
机译:
模拟混合信号设计解决方案的进展
作者:
Shenoy Narendra
;
Lo Paul
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
60.
The Universal Semiconductor Memory
机译:
通用半导体存储器
作者:
Lam Chung H.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
61.
Advanced design enablement platforms for highly scaled technologies under harsh environments
机译:
先进的设计支持平台,用于恶劣环境下的大规模技术
作者:
Li Yanfeng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
62.
Study of electron mobility on silicon with different crystalline orientations
机译:
不同晶向硅上电子迁移率的研究
作者:
Jiang Wei
;
Yin Haizhou
;
Zhang Yalou
;
Liu Yunfei
;
Yu Weize
;
Xu Jing
;
Zhu Huilong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
63.
The influence of silicide technique on the device performance for deep sub-micro pMOSFETs
机译:
硅化物技术对深亚微米pMOSFET器件性能的影响
作者:
Liao Yi-Ming
;
Ji Xiao-Li
;
Chang Jian-Guang
;
Wu Chun-Bo
;
Yan Feng
;
Shi Yi
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
S/D junction;
Si-cap layer;
SiGe;
drain current;
leakage;
pre-amorphization-implantation (PAI);
64.
Numerical simulation of polysilicon TFTs based on discrete grain boundaries
机译:
基于离散晶界的多晶硅TFT的数值模拟
作者:
Deng Wan-Ling
;
Huang Jun-Kai
;
He Jin
;
He Hong-Yu
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
65.
Asynchronous double-gate polycrystalline silicon thin-film transistors for AM-OLED pixel circuits
机译:
用于AM-OLED像素电路的异步双栅极多晶硅薄膜晶体管
作者:
Wang Liangliang
;
Ren Yicheng
;
Han Dedong
;
Cai Jian
;
Tian Yu
;
Wang Yi
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
66.
An IGZO TFT based in-cell capacitance touch sensor
机译:
基于IGZO TFT的内嵌电容式触摸传感器
作者:
Fu Ruhai
;
Liao Congwei
;
Leng Chuanli
;
Zhang Shengdong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
67.
Enhanced performance of ZnO thin-film transistors with ZnO dual-active-layer
机译:
具有ZnO双活性层的ZnO薄膜晶体管的性能增强
作者:
Li Shao-Juan
;
He Xin
;
Han De-Dong
;
Wang Yi
;
Sun Lei
;
Zhang Sheng-Dong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
68.
Channel length-dependent parasitic bipolar transistor effect in Poly-Si TFTs considering traps at grain boundary
机译:
考虑晶界陷阱的多晶硅薄膜晶体管中沟道长度相关的寄生双极晶体管效应
作者:
Liu Tony C.
;
Kuo James B.
;
Zhang Shengdong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
69.
An a-IGZO TFT pixel circuit for AMOLED with simultaneous VT compensation
机译:
用于具有同时VT补偿的AMOLED的a-IGZO TFT像素电路
作者:
Leng Chuanli
;
Liao Congwei
;
Wang Longyan
;
Zhang Shengdong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
70.
X-ray sensor based on pixelated scintillation film on photodiode arrays
机译:
基于光电二极管阵列上像素化闪烁膜的X射线传感器
作者:
Xu Chao-Qun
;
Sun Ying
;
Zhu Da-Zhong
;
Han Yan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
SU-8 photoresist;
X-ray sensor;
photodiode arrays;
pixilated scintillation;
71.
Effects of metallic nanodiscs on solar absorption in Si nanopillar array solar cell
机译:
金属纳米圆盘对硅纳米柱阵列太阳能电池太阳吸收的影响
作者:
Liang Meng
;
Jiang Yu-Long
;
Li Bing-Zong
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
72.
Efficient combined-demapping scheme for modified dual-carrier modulation
机译:
改进的双载波调制的高效组合解映射方案
作者:
Xue Yuan-Kun
;
Wang Xiao-long
;
Jing Bing
;
Ye Fan
;
Ren Junyan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
73.
Strain impacts on electron mobility in silicon nanowires
机译:
应变对硅纳米线中电子迁移率的影响
作者:
Qin Jieyu
;
Zhang Jingjie
;
Du Gang
;
Zhang Xing
;
Liu Xiaoyan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
74.
Performance investigation on the reconfigurable Si nanowire schottky barrier transistors
机译:
可重构Si纳米线肖特基势垒晶体管的性能研究
作者:
Wang Juncheng
;
Du Gang
;
Lun Zhiyuan
;
Wei Kangliang
;
Zeng Lang
;
Liu Xiaoyan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
75.
A control and readout circuit with capacitive mismatch auto-compensation for MEMS vibratory gyroscope
机译:
具有电容失配自动补偿的MEMS振动陀螺仪控制和读出电路
作者:
Fang Ran
;
Lu Wengao
;
Tao Tingting
;
Wang Guannan
;
Chen Zhongjian
;
Zhang Yacong
;
Yu Dunshan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
76.
Stress management for CESL based strained PMOSFET using trench structure
机译:
使用沟槽结构的基于CESL的应变PMOSFET的应力管理
作者:
Luo Qian
;
Liu Bin
;
Yu Qi
;
Wang Xiang-zhan
;
Li Jing-chun
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
77.
Reliability of inversion channel InGaAs n-MOSFETs
机译:
反向沟道InGaAs n-MOSFET的可靠性
作者:
Li Ming-Fu
;
Jiao Guangfan
;
Xuan Yi
;
Huang Daming
;
Ye Peide D.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
78.
Effects of surface traps on the breakdown voltage of passivated AlGaN/GaN HEMTs under high-field stress
机译:
表面陷阱对高场应力下钝化AlGaN / GaN HEMT击穿电压的影响
作者:
Zhao Zi-Qi
;
Liao De-Wei
;
Du Jiang-Feng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
79.
Fabrication characteristics of 1.2kV SiC junction barrier schottky rectifiers with etched implant junction termination extension
机译:
具有刻蚀的注入结终止延伸的1.2kV SiC结势垒肖特基整流器的制造特性
作者:
Deng Xiao-Chuan
;
Yang Fei
;
Sun He
;
Rao Cheng-Yuan
;
Wang Yong
;
Wu Hao
;
Zhang Bo
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
80.
AlGaN/GaN metal-2DEG tunnel junction FETs with normally-off operation, high on-state current and low off-state leakage
机译:
具有常关操作,高导通电流和低导通泄漏的AlGaN / GaN metal-2DEG隧道结FET
作者:
Chen Kevin J.
;
Yuan Li
;
Chen Hongwei
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
81.
Wire sizing regulation algorithm for VLSI interconnect timing optimization
机译:
用于VLSI互连时序优化的线径调整算法
作者:
Wang Xin-Sheng
;
Han Liang
;
Liu Xing-Chun
;
Yu Ming-Yan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
82.
A modeling and mapping method for coarse/fine mixed-grained reconfigurable architecture
机译:
粗/细混合粒度可重构体系结构的建模与映射方法
作者:
Li Zhaotong
;
Huang Zheng
;
Chen Shuai
;
Zhou Xuegong
;
Cao Wei
;
Wang Lingli
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
83.
Streamlining HW-SW architecture development, verification and validation
机译:
简化硬件-软件架构的开发,验证和确认
作者:
Hasan Qamrul
;
Hu Wendong
;
Ankolekar Priyanka
;
Lijun Pan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
84.
Evaluation of buffer organizations for network-on-chip
机译:
评估片上网络的缓冲组织
作者:
Jing Minge
;
Ren Pengshuai
;
Zhou Weichao
;
Yu Zhiyi
;
Zeng Xiaoyang
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
Buffer size;
Latency;
Network-on-chip (NoC);
Packet size;
Throughput;
Virtual channel;
85.
Thermal impact on the resistance switching properties in tantalum oxide based RRAM
机译:
热影响基于钽氧化物的RRAM中的电阻开关性能
作者:
Mao Jun
;
Cai Yimao
;
Tan Shenghu
;
Pan Yue
;
Zhang Yaokai
;
Huang Ru
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
86.
A 1.5 mW 26.2–32.2 GHz divide-by-4 injection-locked frequency divider in 130 nm CMOS process
机译:
1.5 nm的26.2–32.2 GHz 4分频注入锁定分频器,采用130 nm CMOS工艺
作者:
Sun Ya-Nan
;
Li Wei
;
Chen Chang-Ming
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
87.
Size analysis of multilevel RRAM array and optimization of device and circuit characteristics
机译:
多级RRAM阵列的大小分析以及器件和电路特性的优化
作者:
Deng Ye-Xin
;
Huang Peng
;
Chen Bing
;
Yang Xiao-Lin
;
Gao Bin
;
Liu Li-Feng
;
Kang Jin-Feng
;
Liu Xiao-Yan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
88.
A 24-GHz fully integrated phase-locked loop for 60-GHz beamforming
机译:
用于60 GHz波束成形的24 GHz完全集成锁相环
作者:
Zhou Chunyuan
;
Zhang Lei
;
Yang Dongxu
;
Wang Yan
;
Yu Zhiping
;
Qian He
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
CMOS;
beamforming;
millimeter wave;
phase locked loop;
phase noise;
89.
A dynamic power programmable 10-bit 20-MS/s pipeline ADC for ISM band wireless communication
机译:
动态功率可编程10位20-MS / s流水线ADC,用于ISM频段无线通信
作者:
Sun Tao
;
Wang Ning
;
Zhang Qi
;
Yuan Dunshan
;
Wang Hui
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
90.
Searching for the optimal materials for phase change random access memories
机译:
寻找相变随机存取存储器的最佳材料
作者:
Lee Ming-Hsiu
;
Raoux Simone
;
Cheng Huai-Yu
;
Lung Hsiang-Lan
;
Lam Chung
会议名称:
《》
|
2012年
91.
A 72dB-SNDR rail-to-rail successive approximation ADC
机译:
一个72dB-SNDR轨到轨逐次逼近型ADC
作者:
Yan Liu
;
Zhiyuan Xue
;
Quanquan Li
;
Siliang Hua
;
Donghui Wang
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
92.
A novel approach to calibrate open-loop amplifier nonlinearities through piecewise linear interpolation
机译:
通过分段线性插值校准开环放大器非线性的新方法
作者:
Yuehong Gong
;
Min Luo
;
Mingyan Yu
;
Jianguo Ma
;
Ze Mao
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
93.
A novel comparator-assisted switching strategy used in Successive Approximation Register ADC
机译:
逐次逼近寄存器ADC中使用的一种新颖的比较器辅助开关策略
作者:
Ke Yan-jia
;
Wu Xiao-bo
;
Zhao Meng-lian
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
ADC;
SAR;
implantable;
low power;
switching method;
94.
BRAM-based asynchronous FIFO in FPGA with optimized cycle latency
机译:
FPGA中基于BRAM的异步FIFO,具有优化的周期延迟
作者:
Zhang Xinrui
;
Wang Jian
;
Wang Yuan
;
Chen Dan
;
Lai Jinmei
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
关键词:
BRAM;
FIFO;
FPGA;
Optimized cycle latency;
95.
A wide-range and high-precision real-time calibration for dynamic comparator
机译:
动态比较器的大范围高精度实时校准
作者:
Lin Tao
;
Wang Mingshuo
;
Gu Weiru
;
Ye Fan
;
Xu Jun
;
Ren Junyan
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
96.
A low-power clock generator based on digital DLL for high speed pipelined ADCs
机译:
基于数字DLL的低功耗时钟发生器,用于高速流水线ADC
作者:
Cheng Jun
;
Si Liang
;
Zhang Hong
;
Weng Xunwei
;
Chen Zhenhai
;
Pu Zhenjia
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
97.
Analysis of electrostatic crosstalk in 3D vertical NAND Charge Trapping Memory with junctionless GAA nanowire FET
机译:
利用无结GAA纳米线FET分析3D垂直NAND电荷陷阱存储器中的静电串扰
作者:
Wang Yijiao
;
Liu Xiaoyan
;
Yang Yunxiang
;
Qin Jieyu
;
Du Gang
;
Kang Jinfeng
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
98.
Electrostatic discharge (ESD) and latchup in 3-D memory and system on chip applications
机译:
3-D存储器和片上系统应用中的静电放电(ESD)和闩锁
作者:
Voldman Steven H.
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
99.
A data retention model for phase-change memory by the Monte Carlo approach
机译:
蒙特卡罗方法用于相变存储器的数据保留模型
作者:
Jia Yuchao
;
Lin Xinnan
;
Wang Wei
;
Wei Yiqun
;
Cui Xiaole
;
Zhang Xing
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
100.
Studying the effects of doping minor transition metal elements in Al-Cu-Fe-Mn quasicrystals on the structure and phase change of quasicrystals
机译:
研究在Al-Cu-Fe-Mn准晶体中掺杂次要过渡金属元素对准晶体结构和相变的影响
作者:
Wang Yang
;
Yamamoto Yazuki
会议名称:
《IEEE International Conference on Solid-State and Integrated Circuit Technology;ICSICT-2012》
|
2012年
意见反馈
回到顶部
回到首页