...
首页> 外文期刊>Journal of microanolithography, MEMS, and MOEMS >Subresolution assist features impact and implementation in extreme ultraviolet lithography for next-generation beyond 7-nm node
【24h】

Subresolution assist features impact and implementation in extreme ultraviolet lithography for next-generation beyond 7-nm node

机译:次分辨率辅助功能可在极紫外光刻技术中影响和实现下一代7 nm以上节点的下一代应用

获取原文
获取原文并翻译 | 示例
           

摘要

The next-generation beyond 7-nm node potentially requires the implementation of subresolution assist features (SRAF) with extreme ultraviolet (EUV) lithography. This paper aims at providing a clear SRAF strategy for the next-generation beyond 7-nm node designs through a series of experiments. Various factors are considered, including stochastic effects, three-dimensional (3-D) mask effects, through-slit effects, aberrations, and pixelated source mask optimization (SMO) sources. We consider process variability bands with a variety of process conditions, including focus/dose/mask bias changes and also the normalized image log-slope/image log-slope as our objective functions, to determine what the best SRAF solution is for a set of test patterns. Inverse lithography technology is implemented to optimize both the main feature (MF) mask and SRAF placement, in particular, asymmetric SRAF placement to balance the 3-D mask effects. SRAF can potentially mitigate image shift through-focus, i.e., nontelecentricity, caused by EUV 3-D shadowing effect. This shadowing effect is pattern-dependent and contributes to the overlay variation. As we approach the next-generation beyond 7-nm node, this image shift can be more significant relative to the overlay budget, hence, we further investigate the impact of SRAF placement to the image shift. Moreover, the center of focus shift due to the large 3-D mask absorber thickness can be potentially mitigated by SRAF implementation. The common process window is significantly impacted by both the center of focus shift and the individual depth of focus and is evaluated using both metal and contact layer test cases. We study the source impact to SRAF insertion by experimenting with both a symmetric source (standard source) and an asymmetric source (SMO source). Finally, we understand the importance of using full flare map and full through-slit model (including aberration variation through-slit) in the MF correction. Furthermore, we evaluate the need of using full models in SRAF insertion. This is a necessary step to determine the strategy of SRAF implementation for the next-generation beyond 7-nm node.
机译:超越7纳米节点的下一代可能需要使用超紫外(EUV)光刻技术来实现亚分辨率辅助功能(SRAF)。本文旨在通过一系列实验为下一代7纳米节点设计提供清晰的SRAF策略。考虑了各种因素,包括随机效应,三维(3-D)掩模效应,通缝效应,像差和像素化源掩模优化(SMO)源。我们将具有各种工艺条件的工艺可变性带视为目标函数,包括聚焦/剂量/掩模偏差变化以及归一化图像对数斜率/图像对数斜率,作为我们的目标函数,以确定对于一组最佳SRAF解决方案测试模式。实施反光刻技术可优化主要特征(MF)掩模和SRAF放置,特别是非对称SRAF放置以平衡3-D掩模效果。 SRAF可以潜在地减轻因EUV 3-D阴影效应引起的通过焦点的图像偏移,即非远心性。这种阴影效果取决于图案,并会导致覆盖变化。当我们接近7纳米节点以外的下一代时,相对于叠加预算,这种图像偏移可能会更加明显,因此,我们将进一步研究SRAF放置对图像偏移的影响。此外,SRAF的实施可以潜在地减轻由于较大的3-D掩模吸收层厚度而导致的焦点移动中心。通用处理窗口受焦点移动中心和单个焦点深度的影响很大,并且使用金属和接触层测试用例对其进行评估。我们通过实验对称源(标准源)和非对称源(SMO源)来研究源对SRAF插入的影响。最后,我们了解了在MF校正中使用完整耀斑图和完整通缝模型(包括通缝像差变化)的重要性。此外,我们评估了在SRAF插入中使用完整模型的需求。这是确定针对7纳米以上节点的下一代SRAF实施策略的必要步骤。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号