掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Advances in Resist Technology and Processing XVIII
Advances in Resist Technology and Processing XVIII
召开年:
2001
召开地:
Santa Clara, CA(US);Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
An Improved Notch Model for Resist Dissolution in Lithography Simulation
机译:
光刻模拟中抗溶蚀的改进缺口模型
作者:
Stewart Robertson
;
Edward Pavelchek
;
Wolfgang Hoppe
;
Robert Wildfeuer
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
lithography simulation;
photoresist development;
dissolution rate equation;
2.
Analysis of Deprotection Reaction for Chemically Amplified Resists by Using FT-IR Spectrometer with Exposure Tool
机译:
带有曝光工具的FT-IR光谱仪分析化学放大的抗蚀剂的脱保护反应
作者:
Yasuhiro Miyake
;
Mariko Isono
;
Atsushi Sekiguchi
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
3.
Analysis of the Relation between Exposure Parameters and Critical Dimension by Response Surface Model
机译:
响应面模型分析曝光参数与临界尺寸的关系
作者:
Dong-Soo Sohn
;
Young-Soo Sohn
;
Heung-Jin Bak
;
Hye-Keun Oh
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
exposure parameter;
critical dimension;
response surface model;
193 nm;
CAR;
lithography simulation;
4.
Ar Ion Implantation into Resist for Etching Resistance Improvement
机译:
注入Ar离子以提高耐蚀性
作者:
Atsumi Yamaguchi
;
Akihiro Nakae
;
Kouichirou Tsujita.
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
ion implantation;
etching resistance improvement;
pattern shrinking;
line edge roughness;
CD control;
KrF resist;
ArF resist;
5.
ArF Resist for Contact Hole Application
机译:
抗ArF的接触孔应用
作者:
K. Rex Chen
;
Peggy Lawson
;
Tim Hughes
;
Bill Brunsvold
;
P. Rao Varanasi
;
Robin Keller
;
George Jordhamo
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
193nm;
ArF;
resist;
addition cyclic olefin;
contact hole;
bottlenecking;
lipping;
swelling;
6.
Control of line edge roughness of ultrathin resist films subjected to EUV exposure
机译:
控制EUV曝光的超薄抗蚀剂膜的线边缘粗糙度
作者:
Manhyoung Ryoo
;
Shigeru Shirayone
;
Hiroaki Oizumi
;
Nobuyuki Matsuzawa
;
Shigeo Me
;
Ei Yano
;
Shinji Okazaki
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
7.
Creation of Low Molecular-weight Organic Resists for Nanometer Lithography
机译:
用于纳米光刻的低分子量有机抗蚀剂的创建
作者:
Toshiaki Kadota
;
Motoko Yoshiiwa
;
Hiroshi Kageyama
;
Fujio Wakaya
;
Kenji Gamo
;
Yasuhiko Shirota
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
8.
Dependence of Resist Profile on Exposed Area Ratio
机译:
抗蚀剂轮廓对暴露面积比的依赖性
作者:
Eishi Shiobara
;
Daisuke Kawamura
;
Kentaro Matsunaga
;
Yasunobu Onishi
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
low-kl lithography;
chemically amplified resist;
high activation energy;
exposure area ratio;
resist profile;
acid evaporation;
acid re-sticking;
overcoat layer;
9.
Design and Performance of Photoresist Materials for ArF Lithography
机译:
ArF光刻光刻胶材料的设计与性能
作者:
Hyun-Woo Kim
;
Dong-Won Jung
;
Sook Lee
;
Sang-Jun Choi
;
Sang-Gyun Woo
;
Robert J. Kavanagh
;
George Barclay
;
Bob Blacksmith
;
Doris Kang
;
Gerd Pohlers
;
Jim Cameron
;
Joe Mattia
;
Stefan Caporale
;
Thomas Penniman
;
Lori Joesten
;
James W. Thackeray
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
photoresist;
chemically amplified resist;
ArF;
lithography;
cycloolefin polymer;
VEMA;
methacrylate polymer.;
10.
Development of 193nm Organic BARC
机译:
193nm有机BARC的开发
作者:
Takahiro Kishioka
;
Shinya Arase
;
Kazuhisa Ishii
;
Kenichi Mizusawa
;
Hiroyoshi Fukuro
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
11.
Development of a Bond Contribution Model for Structure: Property Correlations in Dry Etch Studies
机译:
结构的键贡献模型的开发:干法刻蚀研究中的性能关联
作者:
Tianyue Yu
;
Philip Ching
;
Christopher Ober
;
Shreeram Deshpande
;
Rama Puligadda
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
dry etch resistance;
structure: property correlation;
photoresist;
12.
Development of DUV Resists for Zero Angle and Angled Implant Applications
机译:
用于零角度和成角度植入物的DUV抗蚀剂的开发
作者:
Patty Fallen
;
Mike Cronin
;
Joe Lachowski
;
Pat Valerio
;
Larry Bachetti
;
Jacque Georger
;
Mike Mori
;
Dave Tomes
;
Kim Wynja
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
photoresist implant;
angled implant;
248nm;
low-energy;
high-energy;
stopping power;
13.
Development of Full-fill Bottom Anti-reflective Coatings For Dual Damascene Process
机译:
双镶嵌工艺全填充底部抗反射涂层的开发
作者:
Yubao Wang
;
Xiaoming Wu
;
Gu Xu
;
Jim Lamb III
;
John Sullivan
;
James Claypool
;
Jackie Backus
;
Sean Trautman
;
Xie Shao
;
Satoshi Takei
;
Yasuhisa Sone
;
Kenichi Mizusawa
;
Hiroyoshi Fukuro
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
dual damascene;
bottom anti-reflective coating (BARC);
etch block;
planarizing BARC;
via fill;
via first;
14.
Dissolution behavior of fluoroalcohol substituted polystyrenes
机译:
氟代醇取代的聚苯乙烯的溶解行为
作者:
Daniel S. Half
;
Brian Osborn
;
Kyle Patterson
;
Sean D. Burns
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
157 nm photolithography;
hexafluoroalcohol;
trifluoroalcohol;
dissolution rates;
bis-trifluoromethyl carbinol;
methyl-trifluoromethyl carbinol;
poly(hydroxystyrene);
15.
Dissolution performance of device pattern with low-impact development
机译:
低影响开发的设备模式的溶解性能
作者:
Shinichi Ito
;
Kei Hayasaki
;
Hiroko Nakamura
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
development;
low-impact;
monitor;
CCD camera;
critical dimension;
uniformity;
diffracted light;
16.
Effect of End Group Structures of Methacrylate Polymers on ArF Photoresist Performances
机译:
甲基丙烯酸酯聚合物端基结构对ArF光阻性能的影响
作者:
Hikaru Momose
;
Shigeo Wakabayashi
;
Tadayuki Fujiwara
;
Kiyoshi Ichimura
;
Jun Nakauchi
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
ArF photoresist;
alicyclic methacrylate;
end group structure;
sensitivity;
17.
Evaluation of I-line and DUV Photoresists for High Density Optical Disc Mastering
机译:
评估I-line和DUV光刻胶用于高密度光盘母版制作
作者:
Roland Tacken
;
Elise C. Rodenburg
;
Mark van der Veer
;
Jos H.C. van Vegchel
;
Richard Eijmberts
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
18.
Evaluation of the standard addition method to determine rate constants for acid generation in chemically amplified photoresist at 157nm
机译:
评估标准添加方法以确定在157nm下化学放大的光致抗蚀剂中产生酸的速率常数
作者:
Adam R. Pawloski
;
Charles R. Szmanda
;
Paul F. Nealey
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
photoacid generator;
base quencher;
C parameter;
157nm lithography;
standard addition;
19.
Examination of a simplified reaction-diffusion model for post exposure bake of chemically amplified resists
机译:
对化学放大后的抗蚀剂进行曝光后烘烤的简化反应扩散模型的检验
作者:
Mark D. Smith
;
Chris A. Mack
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
post exposure bake;
chemically amplified resists;
lithography simulation;
PROLITH;
20.
Formulation Optimizations for Variable DUV Resist Thickness Applications Based on the Same Polymer Matrix
机译:
基于相同聚合物基体的可变DUV抗蚀剂厚度应用的配方优化
作者:
Karin Schlicht
;
Brian Maxwell
;
John Ferri
;
Medhat Toukhy
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
21.
High etch rate type 248nm Bottom Anti-Reflective Coatings
机译:
高蚀刻速率类型248nm底部抗反射涂层
作者:
Tomoyuki Enomoto
;
Shin-ya Arase
;
Ken-ichi Mizusawa
;
Hiroyoshi Fukuro
会议名称:
《》
|
2001年
22.
Improved Crosslinkable Polymeric Binders for 193-nm Bottom Antireflective Coatings (BARCs)
机译:
改进的可交联聚合物粘合剂,用于193 nm底部抗反射涂层(BARC)
作者:
Jim D. Meador
;
Xie Shao
;
Mandar Bhave
;
Chris Cox
;
John Thompson
;
Debra Thomas
;
Stephen Gibbons
;
Ashley Farnsworth
;
Michael Rich
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
microchips;
193-nm lithography;
antireflective coating;
thermosetting;
compatibility;
conformality;
23.
Interlayer Dielectric Process for LSI Circuits Using Positive Photosensitive Polyimide Synthesized by Block- Copolymerization
机译:
通过嵌段共聚合合成正光敏聚酰亚胺的LSI电路层间介电工艺
作者:
Masahiro Aoyagi
;
Shigemasa Segawa
;
Eun-Sil Jung
;
Taro Itatani
;
Masanori Komuro
;
Tsunenori Sakamoto
;
Hiroshi Itatani
;
Masataka Miyamura
;
Shunichi Matsumoto
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
polyimide;
dielectric;
block-copolymerization;
photosensitive;
24.
Investigation of Electron Beam Stabilization of 193nm Photoresists
机译:
193nm光刻胶的电子束稳定化研究
作者:
Myoung-Soo Kim
;
Jong-Woon Park
;
Hak-Joon Kim
;
Bum-Jin Jun
;
Myoung-Goon Gil
;
Bong-Ho Kim
;
Matthew Ross
;
William Livesay
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
ArF (193nm) photoresist;
acrylate type resist;
COMA type resist;
electron beam stabilization;
25.
Lithographic Behavior of Carboxylate Based Dissolution Inhibitors and the Effect of Blending
机译:
羧酸盐型溶解抑制剂的平版印刷行为及其共混作用
作者:
Frank M. Houlihan
;
Zhenglin Yan
;
Elsa Reichmanis
;
Gary Dabbagh
;
Kevin Bolan
;
Om Nalamasu
;
Ilya Rushkin
;
Ognian Dimov
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
dissolution inhibitors;
193 nm;
blending;
26.
Modeling the impact of thermal history during post exposure bake on the lithographic performance of chemically amplified resists
机译:
建模后曝光烘烤期间的热历史对化学放大型抗蚀剂光刻性能的影响
作者:
Mark D. Smith
;
Chris A. Mack
;
John S. Petersen
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
hotplate;
heat transfer;
double bake;
PROLITH;
lithography simulation;
27.
Modification of Development Parameters of 193 nm Chemically Amplified Resist with Pattern Density
机译:
用图案密度修改193 nm化学放大抗蚀剂的显影参数
作者:
Eun-Jung Seo
;
Young-Soo Sohn
;
Heung-Jin Bak
;
Hye-Keun Oh
;
Sanggyun Woo
;
Nakgeuon Seong
;
Han-Ku Cho
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
development parameter;
193 nm;
lithography simulation;
photoresist;
28.
Negative-tone Cycloolefin Photoresist for 193 nm Lithography
机译:
用于193 nm平版印刷的负性环烯烃光刻胶
作者:
S. C. Fu
;
K. H. Hsieh
;
L. A. Wang
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
CAMP;
193 nm lithography;
cycloolefin;
negative-tone resist;
29.
New advances in resist system for next generation lithography
机译:
下一代光刻胶系统的新进展
作者:
Yongqi Hu
;
Wei He
;
Kenneth E. Gonsalves
;
Lhadi Merhari
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
nanocomposite;
resist;
electron beam;
lithography;
sub-loonm resolution;
30.
New Fast Etching Bottom Antireflective Coatings For 248nm Lithography
机译:
适用于248nm光刻的新型快速蚀刻底部抗反射涂层
作者:
Rama Puligadda
;
Runhui Huang
;
Chris Cox
;
James E. Lamb III
;
Manuel Arjona
;
James Claypool
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
anti-reflective coating;
BARC;
etch;
CD control;
thin film;
31.
New Polymer for 157nm Single-Layer Resist Based on Fluorine Containing Acryl Copolymer
机译:
含氟丙烯基共聚物的157nm单层抗蚀剂新聚合物
作者:
Toshiyuki Ogata
;
Koutaro Endo
;
Hiroshi Komano
;
Toshimasa Nakayama
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
157nm positive-tone resist;
trifluoromethyl group;
fluorine-containing acrylpolymer;
32.
193 SLR System based on COMA - Acryl hybrid system
机译:
基于COMA的193 SLR系统-Acryl混合系统
作者:
Masafumi Yamamoto
;
Kiyoshi Murata
;
Hiroyuki Ishii
;
Satoshi Ebata
;
Toru Kajita
;
Tsutomu Shimokawa
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
193-nm single layer resist;
hybrid polymer;
hydrophilicity;
developability;
adhesion;
33.
193nm Contact Photoresist Reflow Feasibility Study
机译:
193nm接触光刻胶回流焊可行性研究
作者:
Kevin Lucas
;
Mark Slezak
;
Monique Ercken
;
Frieda Van Roey
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
193nm photoresists;
photoresist reflow;
contact patterning for the l00nm;
130nm generations;
34.
193nm Single Layer Resist Materials: Total Consideration on Design, Physical Properties, and Lithographic Performances on All Major Alicyclic Platform Chemistries
机译:
193nm单层抗蚀剂材料:在所有主要脂环平台化学上均应综合考虑设计,物理性质和光刻性能
作者:
T. Kajita
;
Y. Nishimura
;
M. Yamamoto
;
H. Ishii
;
A. Soyano
;
A. Kataoka
;
M. Slezak
;
Makoto Shimizu
;
P. R. Varanasi
;
G. Jordahamo
;
M.C. Lawson
;
R. Chen
;
W. R. Brunsvold
;
W. Li
;
R. D. Allen
;
H. Ito
;
H. Truong
;
T. Wallow
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
ArF;
norbornene;
tetracyclododecene;
COMA;
addition polymer;
ROMP;
(meth)acrylate;
VEMA;
cyclyzed system;
line slimming;
etch resistance;
surface roughness;
ESCA;
AFM;
35.
Advanced KrF Chemical Amplified Photoresists for 0.13um Lithography
机译:
用于0.13um光刻的高级KrF化学放大光刻胶
作者:
Qunying Lin
;
Sack Michael
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
advanced KrF photoresists;
half tone PSM;
process window;
OPC technology;
annular illumination;
36.
Novel 193nm Photoresist Based on Olefin-Containing Lactones
机译:
基于含烯烃内酯的新型193nm光刻胶
作者:
Kwang-Sub Yoon
;
Dong-Won Jung
;
Sook Lee
;
Sung-Ho Lee
;
Sang-Jun Choi
;
Sang-Gyun Woo
;
Joo-Tae Moon
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
ArF photoresist;
olefin-containing lactones;
dissolution contrast;
37.
Novel Chemically Amplified Positive Resist containing Acetal Type Crosslinker of poly(3,3'-dimethoxypropene) for 193nm Top Surface Imaging Process
机译:
用于193nm顶面成像工艺的新型化学放大正阻性含缩醛型聚(3,3'-二甲氧基丙烯)交联剂
作者:
Cha-Won Koh
;
Jae-Chang Jung
;
Myoung-Soo Kim
;
Keun-Kyu Kong
;
Geunsu Lee
;
Min-Ho Jung
;
Jin-Soo Kim
;
Ki-Soo Shin
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
ArF lithography;
dry development process;
top surface lmaging process by silylation;
chemically amplified resist;
acetal type crosslinker;
38.
Novel Conformal Organic Anti-Reflective Coatings For Advanced i-Line Lithography
机译:
适用于高级i线光刻的新型共形有机抗反射涂层
作者:
Shreeram Deshpande
;
Kelly Nowak
;
Shelly Fowler
;
Paul Williams
;
Manuel Arjona
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
39.
Novel Develop Application Method to Improve Critical Dimension Control
机译:
改进关键尺寸控制的新型开发应用方法
作者:
Kazuo Sakamoto
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
developer;
CD uniformity;
KrF;
CAR;
UV6;
40.
Novel Negative Photoresist Process for 0.18 um Dual Damascene
机译:
用于0.18 um双镶嵌的新型负性光刻胶工艺
作者:
Lin-Hung Shiu
;
Chih-Ming Lai
;
Fu-Jye Liang
;
Hung-Chun Chen
;
Li-Jui Chen
;
Shuo-Yen Chou
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
dual damascene;
negative tone resist;
attenuated phase shift mask;
41.
Organic BARC process evaluation for via first dual damascene patterning
机译:
通过首次双镶嵌图案进行有机BARC工艺评估
作者:
Cher-Huan Tan
;
Moitreyee Mukherjee-Roy
;
Woo-Min Jo
;
Rakesh Kumar
;
Pang-Dow Foo
;
Santhanesh
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
lithography;
BARC;
dual damascene;
conformal Planarizing coatings;
via filling;
42.
Photolithographic Evaluation of Deep UV Resist Materials for Maskmaking Applications
机译:
用于掩模制造应用的抗深紫外线材料的光刻评估
作者:
Warren Montgomery
;
Alex Buxbaum
;
William Rodrigues
;
Jeff Albelo
;
Scott Fuller
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
maskmaking;
DUV resist;
etch descum;
bottom ARC;
fab environment;
43.
Planarizing ARs for Dual Damascene Processing
机译:
双镶嵌处理的平面AR
作者:
Edward K. Pavelchek
;
Marjorie Cernigliaro
;
Peter Trefonas
;
Amy Kwok
;
Suzanne Coley
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
44.
Post-Modification as A Way to Improve the Lithographic Performance of Resist Materials
机译:
后改性作为改善抗蚀材料平版印刷性能的一种方法
作者:
Wenjie Li
;
P. Rao Varanasi
;
Margaret C. Lawson
;
Timothy Hughes
;
George Jordhamo
;
Robert D. Allen
;
Hiroshi Ito
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
post-modification;
esterification;
193-nm photoresist;
developer compatibility;
etch resistance;
45.
Quantitative Description of Phenolic Polymer Dissolution Using the Concept of Gel Layer
机译:
凝胶层概念对酚醛聚合物溶解的定量描述
作者:
Se-Jin Choi
;
Joon-Yeon Cho
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
phenolic polymer;
gel layer;
dissolution rate;
base diffusion;
deprotonation;
molecular weight dependency;
base concentration dependency;
critical minimum base concentration;
46.
Simulation of 193 nm Photoresists Based on Different Polymer Platforms
机译:
基于不同聚合物平台的193 nm光刻胶的仿真
作者:
Doris Kang
;
Stewart Robertson
;
Edward Pavelchek
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
193 nm photoresists;
lithographic simulation;
parameter extraction;
methacrylate;
vinyl ether/maleic anhydride;
47.
Study on Improved Resolution of Thick Film Resist (Verification by Simulation)
机译:
改善厚膜抗蚀剂分辨率的研究(通过仿真验证)
作者:
Yoshihisa Sensu
;
Atsushi Sekiguchi
;
Yasuhiro Miyake
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
thick-film resist;
indenecarboxylic acid;
development rate measurement system;
48.
SU8C resist for electron beam lithography
机译:
SU8C抗蚀剂,用于电子束光刻
作者:
W. H Wong
;
E. Y. B. Pun
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
electron beam lithography;
resist;
optical elements;
49.
Sumitomo Resist on Various BARC Substrates Using SVGL Micrascan 193
机译:
住友使用SVGL Micrascan 193抵抗各种BARC基板
作者:
M. Rajaratnam
;
G. Baxter
;
M. Riggs
;
P. Tasaico J. Zimmerman
;
J. Beach
;
P. Holland
;
C. Morris
;
K. Spurlock
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
193nm photo resist;
profiles;
193nm BARC;
SVGL Micrascan 193;
50.
Survey of Chemically Amplified Resist Models and Simulator Algorithms
机译:
化学放大的电阻模型和仿真器算法综述
作者:
Ebo Croffie
;
Lei Yuan
;
Mosong Cheng
;
Andrew Neureuther
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
chemically-amplified resist;
post-exposure bake;
model;
diffusion;
simulator;
51.
Thin Resist Process having High Dry Etching Resistance in 0.13um KrF Lithography
机译:
在0.13um KrF光刻中具有高抗干蚀性的薄抗蚀剂工艺
作者:
Minoru Watanabe
;
Suguru Sasaki
;
Sachiko Yabe
;
Takashi Taguchi
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
thin resist process;
dry etching resistance;
electron beam stabilization;
chemically amplified resist;
KrF lithography;
52.
Three-dimensional post-exposure modeling and its applications
机译:
三维曝光后建模及其应用
作者:
Lei Yuan
;
Mosong Cheng
;
Ebo Croffie
;
Andrew Neureuther
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
chemically amplified resist;
post exposure bake;
finite element method;
frontal solver;
T-top surface;
footing;
acid evaporation;
double exposure;
53.
Toward 0.1 um Contact Hole Process by Using Water Soluble Organic Over-Coating Material (WASOOM); Resist Flow Technique (III); Study on WASOOM, Top Flare and Etch Characterization
机译:
通过使用水溶性有机外涂层材料(WASOOM)实现0.1微米的接触孔工艺;阻流技术(III); WASOOM,顶部喇叭口和蚀刻特性的研究
作者:
Jun-Sung Chun
;
Chang Ho Maeng
;
Mark R. Tesauro
;
John Strutvant
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
resist flow;
WASOOM;
water soluble material;
sub-0.15 um contact hole;
half tone mask;
SOG;
54.
Understanding Molecular Level Effects during Post Exposure Processing
机译:
了解暴露后处理过程中的分子水平效应
作者:
Gerard M. Schmid
;
Mark D. Smith
;
Chris A. Mack
;
Vivek K. Singh
;
Sean D. Burns
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XVIII》
|
2001年
关键词:
PROLITH;
mesoscale simulation;
line-edge roughness;
surface roughness;
意见反馈
回到顶部
回到首页