掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Design Automation Conference (ASP-DAC), 2010
Design Automation Conference (ASP-DAC), 2010
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Resilient design in scaled CMOS for energy efficiency
机译:
缩放CMOS的弹性设计可提高能源效率
作者:
Tschanz J.
;
Bowman K.
;
Khellah M.
;
Wilkerson C.
;
Geuskens B.
;
Somasekhar D.
;
Raychowdhury A.
;
Kulkarni J.
;
Tokunaga C.
;
Shih-Lien Lu
;
Karnik T.
;
De V.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
2.
Cascaded time difference amplifier using differential logic delay cell
机译:
使用差分逻辑延迟单元的级联时差放大器
作者:
Mandai S.
;
Nakura T.
;
Ikeda M.
;
Asada K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
3.
A versatile recognition processor for sensor network applications
机译:
适用于传感器网络应用的多功能识别处理器
作者:
Takashima R.
;
Hanai Y.
;
Hori Y.
;
Kuroda T.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
4.
A 2-6 GHz fully integrated tunable CMOS power amplifier for multi-standard transmitters
机译:
2-6 GHz完全集成的可调谐CMOS功率放大器,用于多标准发射器
作者:
Imanishi D.
;
JeeYoung Hong
;
Okada K.
;
Matsuzawa A.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
5.
A CMOS image sensor with 2.0-ē random noise and 110-kē full well capacity using column source follower readout circuits
机译:
使用列源跟随器读出电路的,具有2.0-ē随机噪声和110-kē全阱容量的CMOS图像传感器
作者:
Kohara T.
;
Woonghee Lee
;
Mizobuchi K.
;
Sugawa S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
6.
Checkered White-RGB Color LOFIC CMOS image sensor
机译:
方格白RGB彩色LOGIC CMOS图像传感器
作者:
Kawada S.
;
Sakai S.
;
Tashiro Y.
;
Sugawa S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
7.
Checker-pattern and shared two pixels LOFIC CMOS image sensors
机译:
检查器模式和共享两个像素LOGIC CMOS图像传感器
作者:
Tashiro Y.
;
Kawada S.
;
Sakai S.
;
Sugawa S.
会议名称:
《》
|
2010年
8.
SOC for car navigation system with a 55.3GOPS image recognition engine
机译:
具有55.3GOPS图像识别引擎的汽车导航系统的SOC
作者:
Hamasaki H.
;
Hoshi Y.
;
Nakamura A.
;
Yamamoto A.
;
Kido H.
;
Muramatsu S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
关键词:
SoC;
car navigation systems;
image recognition;
9.
A high performance low complexity joint transceiver for closed-loop MIMO applications
机译:
用于闭环MIMO应用的高性能,低复杂度联合收发器
作者:
Jian-Lung Tzeng
;
Chien-Jen Huang
;
Yu-Han Yuan
;
Hsi-Pin Ma
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
10.
MuCCRA-3: A low power dynamically Reconfigurable Processor Array
机译:
MuCCRA-3:低功耗动态可重配置处理器阵列
作者:
Saito Y.
;
Sano T.
;
Kato M.
;
Tunbunheng V.
;
Yasuda Y.
;
Kimura M.
;
Amano H.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
11.
Rapid prototyping on a structured ASIC fabric
机译:
在结构化ASIC架构上进行快速原型制作
作者:
Yuen S.C.L.
;
Yan-Qing Ai
;
Chan B.P.W.
;
Chau T.C.P.
;
Ho S.M.H.
;
Lau O.K.L.
;
Kong-Pang Pun
;
Leong P.H.W.
;
Choy O.C.S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
12.
Temporal circuit partitioning for a 90nm CMOS multi-context FPGA and its delay measurement
机译:
90nm CMOS多上下文FPGA的时间电路划分及其延迟测量
作者:
Miyamoto N.
;
Ohmi T.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
13.
Design and chip implementation of an instruction scheduling free ubiquitous processor
机译:
无指令调度的无处不在处理器的设计和芯片实现
作者:
Fukase M.-A.
;
Murakami R.
;
Sato T.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
14.
Geyser-1: A MIPS R3000 CPU core with fine-grained run-time power gating
机译:
Geyser-1:具有细粒度运行时电源门控的MIPS R3000 CPU内核
作者:
Ikebuchi D.
;
Seki N.
;
Kojima Y.
;
Kamata M.
;
Zhao L.
;
Amano H.
;
Shirai T.
;
Koyama S.
;
Hashida T.
;
Umahashi Y.
;
Masuda H.
;
Usami K.
;
Takeda S.
;
Nakamura H.
;
Namiki M.
;
Kondo M.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
15.
A WiMAX turbo decoder with tailbiting BIP architecture
机译:
具有拖尾BIP架构的WiMAX Turbo解码器
作者:
Arai H.
;
Miyamoto N.
;
Kotani K.
;
Fujisawa H.
;
Ito T.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
16.
An electrically adjustable 3-terminal regulator with post-fabrication level-trimming function
机译:
具有可调后微调功能的电动可调三端稳压器
作者:
Morimoto H.
;
Koike H.
;
Nakamura K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
17.
Fine resolution double edge clipping with calibration technique for built-in at-speed delay testing
机译:
具有校准技术的精细分辨率双边削波,用于内置的全速延迟测试
作者:
Chen-I Chung
;
Shuo-Wen Chang
;
Feng-Tso Chien
;
Ching-Hwa Cheng
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
18.
Adaptive performance control with embedded timing error predictive sensors for subthreshold circuits
机译:
具有嵌入式定时误差预测传感器的亚阈值电路的自适应性能控制
作者:
Fuketa H.
;
Hashimoto M.
;
Mitsuyama Y.
;
Onoye T.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
19.
A 60GHz direct-conversion transmitter in 65nm CMOS technology
机译:
采用65nm CMOS技术的60GHz直接转换发射器
作者:
Takayama N.
;
Matsushita K.
;
Ito S.
;
Ning Li
;
Okada K.
;
Matsuzawa A.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
20.
Built-in self at-speed Delay Binning And Calibration Mechanism in wireless test platform
机译:
无线测试平台中内置的自速延迟分箱和标定机制
作者:
Chen-I Chung
;
Jyun-Sian Jhou
;
Ching-Hwa Cheng
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
关键词:
at speed testing;
scan based delay testing;
speed binning;
21.
Dynamic voltage domain assignment technique for low power performance manageable cell based design
机译:
基于低功耗性能可管理单元的动态电压域分配技术
作者:
Lee E.
;
Feng-Tso Chien
;
Ching-Hwa Cheng
;
Jiun-In Guo
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
22.
An embedded debugging/performance monitoring engine for a tile-based 3D graphics SoC development
机译:
用于基于图块的3D图形SoC开发的嵌入式调试/性能监视引擎
作者:
Liang-Bi Chen
;
Tsung-Yu Ho
;
Jiun-Cheng Ju
;
Cheng-Lung Chiang
;
Chung-Nan Lee
;
Ing-Jer Huang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
23.
Design of Networks on Chips for 3D ICs
机译:
3D IC的片上网络设计
作者:
Murali S.
;
Benini L.
;
De Micheli G.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
24.
Is 3D integration an opportunity or just a hype?
机译:
3D集成是机遇还是仅仅是炒作?
作者:
Jin-Fu Li
;
Cheng-Wen Wu
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
25.
Low-cost design for repair with circuit partitioning
机译:
通过电路分区进行维修的低成本设计
作者:
Kyungho Kim
;
Byungtae Kang
;
Dongyun Kim
;
Sungchul Lee
;
Juyong Shin
;
Hyunchul Shin
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
26.
iRetILP: An efficient incremental algorithm for min-period retiming under general delay model
机译:
iRetILP:一种有效的增量算法,用于在一般延迟模型下进行最小周期重定时
作者:
Das D.
;
Jia Wang
;
Hai Zhou
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
27.
System-level development of embedded software
机译:
嵌入式软件的系统级开发
作者:
Schirner G.
;
Gerstlauer A.
;
Domer R.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
28.
Slack redistribution for graceful degradation under voltage overscaling
机译:
松弛重新分配,可在电压超标情况下正常降级
作者:
Kahng A.B.
;
Seokhyeong Kang
;
Kumar R.
;
Sartori J.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
29.
Platform modeling for exploration and synthesis
机译:
探索和综合平台建模
作者:
Gerstlauer A.
;
Schirner G.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
30.
Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors
机译:
基于运行时温度的功率估计,可优化受热约束的多核处理器的吞吐量
作者:
Dongkeun Oh
;
Nam Sung Kim
;
Chen C.C.P.
;
Davoodi A.
;
Yu Hen Hu
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
31.
Fixed-outline thermal-aware 3D floorplanning
机译:
固定轮廓热感知3D平面布置图
作者:
Linfu Xiao
;
Sinha S.
;
Jingyu Xu
;
Young E.F.Y.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
32.
A dual-MST approach for clock network synthesis
机译:
时钟网络综合的双重MST方法
作者:
Jingwei Lu
;
Wing-Kai Chow
;
Chiu-Wing Sham
;
Young E.F.Y.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
33.
Design and verification methods of toshiba's wireless LAN baseband SoC
机译:
东芝无线局域网基带SoC的设计和验证方法
作者:
Kuwahara M.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
34.
A low latency wormhole router for asynchronous on-chip networks
机译:
用于异步片上网络的低延迟虫洞路由器
作者:
Wei Song
;
Edwards D.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
35.
Regularity-oriented analog placement with diffusion sharing and well island generation
机译:
具有扩散共享和井岛生成的面向规则的模拟放置
作者:
Nakatake S.
;
Kawakita M.
;
Ito T.
;
Kojima M.
;
Izumi K.
;
Habasaki T.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
36.
Dynamic power management in environmentally powered systems
机译:
环保系统中的动态电源管理
作者:
Moser C.
;
Jian-Jia Chen
;
Thiele L.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
关键词:
Power management;
embedded systems;
energy harvesting;
model predictive control;
real-time scheduling;
reward maximization;
37.
TLM automation for multi-core design
机译:
用于多核设计的TLM自动化
作者:
Abdi S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
38.
Design time body bias selection for parametric yield improvement
机译:
设计时的车身偏置选择以提高参数产量
作者:
Cheng Zhuo
;
Yung-Hsu Chang
;
Sylvester D.
;
Blaauw D.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
39.
A new graph-theoretic, multi-objective layout decomposition framework for Double Patterning Lithography
机译:
用于双图案光刻的新的图论,多目标布局分解框架
作者:
Jae-Seok Yang
;
Lu K.
;
Minsik Cho
;
Kun Yuan
;
Pan D.Z.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
40.
A Probabilistic Boolean Logic for energy efficient circuit and system design
机译:
用于节能电路和系统设计的概率布尔逻辑
作者:
Chakrapani L.
;
Palem K.V.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
41.
Data learning based diagnosis
机译:
基于数据学习的诊断
作者:
Li-C Wang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
42.
An extension of the generalized Hamiltonian method to S-parameter descriptor systems
机译:
广义哈密顿方法对S参数描述符系统的扩展
作者:
Zheng Zhang
;
Ngai Wong
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
43.
On process-aware 1-D standard cell design
机译:
基于过程的一维标准单元设计
作者:
Hongbo Zhang
;
Wong M.D.F.
;
Kai-Yuan Chao
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
44.
A novel FDTD algorithm based on alternating-direction explicit method with PML absorbing boundary condition
机译:
基于交替显式方法和PML吸收边界条件的FDTD算法
作者:
Aono S.
;
Unno M.
;
Asai H.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
45.
Micro-scale energy harvesting: A system design perspective
机译:
微型能量收集:系统设计的角度
作者:
Chao Lu
;
Raghunathan V.
;
Roy K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
46.
Co-optimization of memory access and task scheduling on MPSoC architectures with multi-level memory
机译:
在具有多级存储器的MPSoC架构上共同优化存储器访问和任务调度
作者:
Yi He
;
Chun Jason Xue
;
Xu C.Q.
;
Sha E.H.-M.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
47.
Maximizing the harvested energy for micro-power applications through efficient MPPT and PMU design
机译:
通过有效的MPPT和PMU设计,最大限度地提高微功率应用的能量收集
作者:
Hui Shao
;
Chi-Ying Tsui
;
Wing-Hung Ki
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
48.
Room-temperature fuel cells and their integration into portable and embedded systems
机译:
室温燃料电池及其与便携式和嵌入式系统的集成
作者:
Naehyuck Chang
;
Jueun Seo
;
Donghwa Shin
;
Younghyun Kim
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
49.
Simultaneous slack budgeting and retiming for synchronous circuits optimization
机译:
同时进行松弛预算和重新定时以优化同步电路
作者:
Shenghua Liu
;
Yuchun Ma
;
Xianlong Hong
;
Yu Wang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
50.
A fast SPFD-based rewiring technique
机译:
基于SPFD的快速重新布线技术
作者:
Maidee P.
;
Bazargan K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
51.
VISA: Versatile Impulse Structure Approximation for time-domain linear macromodeling
机译:
VISA:时域线性宏建模的通用脉冲结构逼近
作者:
Chi-Un Lei
;
Ngai Wong
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
52.
Efficient model reduction of interconnects via double gramians approximation
机译:
通过双gramians近似有效地减少互连的模型
作者:
Boyuan Yan
;
Tan S.X.-D.
;
Gengsheng Chen
;
Yici Cai
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
53.
Wideband reduced modeling of interconnect circuits by adaptive complex-valued sampling method
机译:
自适应复值采样方法对互连电路进行宽带简化建模
作者:
Hai Wang
;
Tan S.X.-D.
;
Gengsheng Chen
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
54.
An alternative polychronous model and synthesis methodology for model-driven embedded software
机译:
用于模型驱动的嵌入式软件的替代性多同步模型和综合方法
作者:
Jose B.A.
;
Shukla S.K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
55.
Trace-based performance analysis framework for heterogeneous multicore systems
机译:
异构多核系统的基于跟踪的性能分析框架
作者:
Shih-Hao Hung
;
Chia-Heng Tu
;
Thean-Siew Soon
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
56.
A PUF design for secure FPGA-based embedded systems
机译:
用于基于FPGA的安全嵌入式系统的PUF设计
作者:
Anderson J.H.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
57.
Multi-operand adder synthesis on FPGAs using generalized parallel counters
机译:
使用通用并行计数器在FPGA上进行多操作数加法器综合
作者:
Matsunaga T.
;
Kimura S.
;
Matsunaga Y.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
58.
Fault-tolerant resynthesis with dual-output LUTs
机译:
双输出LUT的容错重新合成
作者:
Ju-Yueh Lee
;
Yu Hu
;
Majumdar R.
;
Lei He
;
Minming Li
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
59.
TRECO: Dynamic technology remapping for timing Engineering Change Orders
机译:
TRECO:动态技术重新映射,用于定时工程变更单
作者:
Kuan-Hsien Ho
;
Jiang J.-H.R.
;
Yao-Wen Chang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
60.
Adaptive power management for real-time event streams
机译:
实时事件流的自适应电源管理
作者:
Kai Huang
;
Santinelli L.
;
Jian-Jia Chen
;
Thiele L.
;
Buttazzo G.C.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
关键词:
Adaptive Power Management;
Energy Minimization;
Real-Time Calculus;
Real-Time Event Streams;
61.
Cool and save: Cooling aware dynamic workload scheduling in multi-socket CPU systems
机译:
冷却并节省:多插槽CPU系统中具有冷却意识的动态工作负载调度
作者:
Ayoub R.
;
Rosing T.S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
62.
MPSoC programming using the MAPS compiler
机译:
使用MAPS编译器进行MPSoC编程
作者:
Leupers R.
;
Castrillon J.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
63.
Generalised threshold gate synthesis based on AND/OR/NOT representation of boolean function
机译:
基于布尔函数AND / OR / NOT表示的广义阈值门综合
作者:
Bawiec M.A.
;
Nikodem M.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
64.
Rule-based optimization of reversible circuits
机译:
基于规则的可逆电路优化
作者:
Arabzadeh M.
;
Saeedi M.
;
Zamani M.S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
65.
D-A converter based variation analysis for analog layout design
机译:
基于D-A转换器的变化分析,用于模拟布局设计
作者:
Bo Liu
;
Fujimura T.
;
Bo Yang
;
Nakatake S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
66.
A decoder-based switch box to mitigate soft errors in SRAM-based FPGAs
机译:
基于解码器的开关盒,可减轻基于SRAM的FPGA中的软错误
作者:
Ebrahimi H.
;
Zamani M.S.
;
Zarandi H.R.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
67.
PAC duo system power estimation at ESL
机译:
ESL的PAC duo系统功率估算
作者:
Wen-Tsan Hsieh
;
Jen-Chieh Yeh
;
Shi-Yu Huang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
68.
Design of complex image processing systems in ESL
机译:
ESL中复杂图像处理系统的设计
作者:
Schafer B.C.
;
Trambadia A.
;
Wakabayashi K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
69.
High level event driven thermal estimation for thermal aware task allocation and scheduling
机译:
高级别事件驱动的热估计,可进行热感知任务分配和调度
作者:
Jin Cui
;
Maskell D.L.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
70.
Mapping and scheduling of parallel C applications with Ant Colony Optimization onto heterogeneous reconfigurable MPSoCs
机译:
使用蚁群优化将并行C应用程序映射和调度到异构可重配置MPSoC上
作者:
Ferrandi F.
;
Pilato C.
;
Sciuto D.
;
Tumeo A.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
71.
Parametric yield driven resource binding in behavioral synthesis with multi-V
th
/V
dd
library
机译:
多V
inf> / V
dd inf>库在行为综合中的参数收益驱动资源绑定
作者:
Yibo Chen
;
Yuan Xie
;
Yu Wang
;
Takach A.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
72.
Optimizing blocks in an SoC using symbolic code-statement reachability analysis
机译:
使用符号代码声明可达性分析优化SoC中的模块
作者:
Hong-Zu Chou
;
Kai-Hui Chang
;
Sy-Yen Kuo
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
73.
On confidence in characterization and application of variation models
机译:
关于对变化模型的表征和应用的信心
作者:
Lerong Cheng
;
Gupta P.
;
Lei He
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
74.
Application of ESL Synthesis on GSM Edge algorithm for base station
机译:
ESL综合在基站GSM Edge算法中的应用
作者:
Su A.P.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
75.
Analyzing electrical effects of RTA-driven local anneal temperature variation
机译:
分析RTA驱动的局部退火温度变化的电效应
作者:
Joshi V.
;
Agarwal K.
;
Sylvester D.
;
Blaauw D.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
76.
A high-level synthesis flow for custom instruction set extensions for application-specific processors
机译:
针对专用处理器的定制指令集扩展的高级综合流程
作者:
Pothineni N.
;
Brisk P.
;
Ienne P.
;
Kumar A.
;
Paul K.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
77.
Incremental high-level synthesis
机译:
增量高级综合
作者:
Lavagno L.
;
Kondratyev A.
;
Watanabe Y.
;
Qiang Zhu
;
Fujii M.
;
Tatesawa M.
;
Nakayama N.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
78.
Minimizing leakage power in aging-bounded high-level synthesis with design time multi-V
th
assignment
机译:
使用设计时间为多次V
inf>的分配,最大限度地减少了在有时间限制的高级综合中的泄漏功率
作者:
Yibo Chen
;
Yuan Xie
;
Yu Wang
;
Takach A.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
79.
A global interconnect reduction technique during high level synthesis
机译:
高级综合中的全局互连减少技术
作者:
Kim Taemin
;
Liu Xun
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
80.
Statistical time borrowing for pulsed-latch circuit designs
机译:
脉冲锁存电路设计的统计时间借用
作者:
Seungwhun Paik
;
Lee-eun Yu
;
Youngsoo Shin
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
81.
Statistical timing verification for transparently latched circuits through structural graph traversal
机译:
通过结构图遍历对透明锁存电路进行统计时序验证
作者:
Xingliang Yuan
;
Jia Wang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
82.
A unified Multi-Corner Multi-Mode static timing analysis engine
机译:
统一的多角多模式静态时序分析引擎
作者:
Jing-Jia Nian
;
Shih-Heng Tsai
;
Chung-Yang Huang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
83.
A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effects
机译:
考虑亚波长光刻效应的提高寄生物提取精度的新方法
作者:
Kuen-Yu Tsai
;
Wei-Jhih Hsieh
;
Yuan-Ching Lu
;
Bo-Sen Chang
;
Sheng-Wei Chien
;
Yi-Chang Lu
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
84.
Dead Via Minimization by Simultaneous Routing and Redundant Via Insertion
机译:
通过同时路由最小化而死,并且通过插入实现冗余
作者:
Chih-Ta Lin
;
Yen-Hung Lin
;
Guan-Chan Su
;
Yih-Lang Li
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
85.
A robust pixel-based RET optimization algorithm independent of initial conditions
机译:
独立于初始条件的鲁棒的基于像素的RET优化算法
作者:
Jinyu Zhang
;
Wei Xiong
;
Yan Wang
;
Zhiping Yu
;
Min-Chun Tsai
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
86.
A method for debugging of pipelined processors in formal verification by Correspondence Checking
机译:
一种通过对应检查在形式验证中调试流水线处理器的方法
作者:
Velev M.N.
;
Ping Gao
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
87.
Automatic assertion extraction via sequential data mining of simulation traces
机译:
通过对模拟迹线进行顺序数据挖掘来自动断言提取
作者:
Po-Hsien Chang
;
Wang L.-C.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
88.
Automatic Constraint Generation for guided random simulation
机译:
用于约束随机模拟的自动约束生成
作者:
Hu-Hsi Yeh
;
Chung-Yang Huang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
89.
Managing verification error traces with Bounded Model Debugging
机译:
使用边界模型调试管理验证错误跟踪
作者:
Safarpour S.
;
Veneris A.
;
Najm F.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
90.
Bounded potential slack: Enabling time budgeting for dual-V
t
allocation of hierarchical design
机译:
无限可能的松弛:为分层设计的双V
t inf>分配启用时间预算
作者:
Jun Seomun
;
Seungwhun Paik
;
Youngsoo Shin
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
91.
Dynamic power estimation for deep submicron circuits with process variation
机译:
具有工艺变化的深亚微米电路的动态功率估算
作者:
Quang Dinh
;
Deming Chen
;
Wong M.D.F.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
92.
A hierarchical bin-based legalizer for standard-cell designs with minimal disturbance
机译:
用于标准单元设计且具有最小干扰的基于分级bin的合法化器
作者:
Yu-Min Lee
;
Tsung-You Wu
;
Po-Yi Chiang
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
93.
An analytical dynamic scaling of supply voltage and body bias exploiting memory stall time variation
机译:
利用存储器失速时间变化对电源电压和主体偏置进行动态分析
作者:
Jungsoo Kim
;
Younghoon Lee
;
Sungjoo Yoo
;
Chong-Min Kyung
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
94.
UFO: Unified convex optimization algorithms for fixed-outline floorplanning
机译:
UFO:用于固定轮廓布局的统一凸优化算法
作者:
Jai-Ming Lin
;
Hsi Hung
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
95.
Configurable Multi-product Floorplanning
机译:
可配置的多产品布局
作者:
Qiang Ma
;
Wong M.D.F.
;
Kai-Yuan Chao
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
96.
Floorplanning and topology generation for application-specific Network-on-Chip
机译:
特定于应用的片上网络的布局规划和拓扑生成
作者:
Bei Yu
;
Sheqin Dong
;
Song Chen
;
Goto S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
97.
A3MAP: Architecture-Aware Analytic Mapping for Networks-on-Chip
机译:
A3MAP:片上网络的体系结构感知分析映射
作者:
Wooyoung Jang
;
Pan D.Z.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
98.
Efficient throughput-guarantees for latency-sensitive networks-on-chip
机译:
延迟敏感的片上网络的高效吞吐量保证
作者:
Diemer J.
;
Ernst R.
;
Kauschke M.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
99.
Emulating and diagnosing IR-drop by using dynamic SDF
机译:
使用动态SDF仿真和诊断IR下降
作者:
Ke Peng
;
Yu Huang
;
Ruifeng Guo
;
Wu-Tung Cheng
;
Tehranipoor M.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
100.
Application-specific 3D Network-on-Chip design using simulated allocation
机译:
使用模拟分配的专用3D片上网络设计
作者:
Pingqiang Zhou
;
Ping-Hung Yuh
;
Sapatnekar S.S.
会议名称:
《Design Automation Conference (ASP-DAC), 2010》
|
2010年
意见反馈
回到顶部
回到首页