掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Annual symposium on photomask technology
Annual symposium on photomask technology
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Puddle developers for ZEP7000
机译:
水坑开发人员为Zep7000
作者:
Kakuei Ozawa
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
photomask;
EB resist;
ZEP7000;
developer B;
puddle development;
2.
Definition of new quality criteria and assessment means for masks at 150-nm design rules and beyond
机译:
新的质量标准和评估手段的定义为150纳米设计规则及以后的面具
作者:
Emanuele Baracchi
;
Hans-Juergen Brueck
;
Thomas Engel
;
Yair Eran
;
Frederic P. Lalanne
;
Olivier Maurin
;
Volodymyr Ordynskyy
;
Thomas Schaetz
;
Karl Sommer
会议名称:
《Annual symposium on photomask technology》
|
1999年
3.
Placement measurement and FE modeling results for distortion control of stencil masks
机译:
模板掩模失真控制的放置测量和FE模拟结果
作者:
Albrecht Ehrmann
;
Thomas Struck
;
Rainer Kaesmaier
;
Ernst Haugeneder
;
Hans Loschner
;
Jorg Butschke
;
Florian Letzkus
;
Matthias Irmscher
;
Reihnhard Springer
;
Roxann Engelstad
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
distortion control;
stencil masks;
ion projection lithography;
placement measurement;
FE modeling;
4.
Techniques to detect and analyze photomask CD uniformity errors
机译:
检测和分析光掩模CD均匀性误差的技术
作者:
Anthony Vacca
;
Waiman Ng
;
Geoffrey Anderson
;
Barry Rockwell
;
Aihua Dong
;
Darren Taylor
会议名称:
《Annual symposium on photomask technology》
|
1999年
5.
MEEF in theory and practice
机译:
理论与实践中的MEEF
作者:
Franklin M. Schellenberg
;
Chris A. Mack
会议名称:
《Annual symposium on photomask technology》
|
1999年
6.
Advanced e-beam lithography system JBX-9000MV for 180-nm masks
机译:
高级电子束光刻系统JBX-9000MV为180纳米面具
作者:
Hitoshi Takemura
;
Tadashi Komagata
;
Yasutoshi Nakagawa
;
Kazumitsu Tananka
会议名称:
《Annual symposium on photomask technology》
|
1999年
7.
Techniques to Detect and Analyze Photomask CD Uniformity Errors
机译:
检测和分析光掩模CD均匀性误差的技术
作者:
Anthony Vacca
;
Waiman Ng
;
Geoffrey Anderson
;
Barry Rockwell
;
Aihua Dong
;
Darren Taylor
会议名称:
《Annual symposium on photomask technology》
|
1999年
8.
Post-develop inspection for defect control by using the Lasertec 9MD83SRII system
机译:
使用Lasertec 9MD83SRII系统进行缺陷控制后开发检查
作者:
Andrew Wang
;
Wayne P. Shen
;
T.Nakashima
;
Kaku Ozawa
会议名称:
《Annual symposium on photomask technology》
|
1999年
9.
Proposed successor to barcode for automated reticle identification
机译:
建议的继任者到条形码用于自动掩模版识别
作者:
Thomas White
;
Winthrop Baylies
;
Karl A. Bernal
;
John Merva
;
William Bouvier
会议名称:
《Annual symposium on photomask technology》
|
1999年
10.
Plasma Etch of Binary Cr Masks: CD Uniformity Study of Photomasks Utilizing Varying Cr Loads
机译:
二元CR口罩的等离子体蚀刻:使用不同CR负载的光掩模CD均匀性研究
作者:
C. Constantine
;
R. Westerman
;
J. Plumhoff
会议名称:
《Annual symposium on photomask technology》
|
1999年
11.
Challenges and opportunities for 157 nm Mask Technology
机译:
157 NM面具技术的挑战和机遇
作者:
Jan Mulkens
;
Christian Wagner
;
Kevin Cummings
;
Richard George
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
157 nm lithography;
stepper reduction ratio;
reticles;
12.
CANARY: a high-sensitive ESD test reticle design to evaluate potential risks in wafer fabs
机译:
金丝雀:一个高敏感的ESD测试掩模版设计,以评估晶圆厂的潜在风险
作者:
Andreas Englisch
;
Kees van Hasselt
;
Michel Tissier
;
K. C. Wang
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
CANARY;
ESD test reticle;
ESD risk;
cumulative effects;
combined effects;
lifetime simulation;
material migration;
material sputtering;
13.
Fabrication of membrane mask for next generation lithography
机译:
用于下一代光刻的膜面罩的制造
作者:
Dongwan Kim
;
Jared D. Lare
;
Hanku Cho
;
Jootae Moon
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
membrane mask;
mechanical distortions;
in-plane distortions;
equivalent modeling techniques;
finite element analysis;
14.
Masking materials for 157-nm lithography
机译:
157-nm光刻的掩蔽材料
作者:
Bruce W. Smith
;
Anatoly Bourov
;
Matthew Lassiter
;
Michael Cangemi
会议名称:
《Annual symposium on photomask technology》
|
1999年
15.
Improvement of CD accuracy for next generation reticles using HL-800M and CA resist
机译:
使用HL-800M和CA抗蚀剂改善下一代掩模性的CD精度
作者:
Hidetoshi Satoh
;
Yasunari Sohda
;
Hidetaka Saitoh
;
Morihisa Hoga
;
Suyo Asai
;
Katsuhiro Kawasaki
;
Kazui Mizuno
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
CD accuracy;
chemically amplified resist;
50 kV EB exposure;
proximity effect correction;
16.
New Silica Glass for 157 nm Lithography
机译:
新的二氧化硅玻璃157 nm光刻
作者:
Y. Ikuta
;
S. Kikugawa
;
T. Kawahara
;
H. Mishiro
;
N. Shimodaira
;
H. Arishima
;
S. Yoshizawa
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
silica glass;
F_2 laser;
157 nm;
vacuum-UV light;
17.
Practical technology path to sub-0.10-um process generations via enhanced optical lithography
机译:
通过增强光学光刻到0.10-UM工艺几代实用技术路径
作者:
Jang Fung Chen
;
Tom L. Laidig
;
Kurt E. Wampler
;
Roger F. Caldwell
;
Kent H. Nakagawa
;
Armin Liebchen
会议名称:
《Annual symposium on photomask technology》
|
1999年
18.
Cross-correlation between actinic and visible defect inspection tool for extreme ultraviolet lithography
机译:
极端紫外光刻散蚀缺陷检测工具的互相关
作者:
Seongtae Jeong
;
Chih-wei Lai
;
Seno Rekawa
;
Christopher C. Walton
;
Shon T. Prisbrey
;
Jeffrey Bokor
会议名称:
《Annual symposium on photomask technology》
|
1999年
19.
Proximity Effects of Alternating Phase Shift Masks
机译:
交替相移掩模的邻近效应
作者:
Wilhelm Maurer
;
Christoph Friedrich
;
Leonhard Mader
;
Joerg Thiele
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
PSM;
alternating phase shift masks;
OPC;
optical proximity effects;
optical lithography;
lithography simulation;
20.
Comparison Study of Mask Error Effects for Various Mask Making Processes
机译:
各种掩模制作过程掩模误差效应的比较研究
作者:
Tae-Seung Eom
;
Ik-Boum Hur
;
Yung-Mo Koo
;
Ki-Ho Baik
;
Il-Hyun Choi
;
D. Y. Kim
;
C. Shin
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
OPC;
HOST(Hyundai OPC Simulation Tool);
mask error effect;
PSM;
and dry etch;
21.
High-resolution ultraviolet defect inspection of DAP (darkfield alternate phase) reticles
机译:
高分辨率紫外线缺陷检查DAP(Darkfield交替相位)晶体
作者:
Lars W. Liebmann
;
Scott M. Mansfield
;
Alfred K. Wong
;
Jacek G. Smolinski
;
Song Peng
;
Kurt R. Kimmel
;
Maciej W. Rudzinski
;
James N. Wiley
;
Larry S. Zurbrick
会议名称:
《Annual symposium on photomask technology》
|
1999年
22.
Carbon stain effects from SEM exposure
机译:
SEM暴露的碳染色效果
作者:
John Grantz
;
Robert K. Henderson
;
James L. Wood
会议名称:
《Annual symposium on photomask technology》
|
1999年
23.
CD Error Sensitivity to 'Sub-Killer' Defects at k_1 Near 0.4 part II
机译:
CD误差敏感性在0.4第II部分附近的K_1缺陷
作者:
Kent H. Nakagawa
;
J. Fung Chen
;
Robert Socha
;
Mircea Dusa
;
Tom Laidig
;
Kurt E. Wampler
;
Roger Caldwell
;
Doug van den Broeke
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
critical dimension;
CD;
defects;
k_1;
defect sensitivity monitor;
DSM;
OPC;
24.
System architecture choices for an advanced mask writer (100-130 nm)
机译:
高级掩码编写器的系统架构选择(100-130 nm)
作者:
Varoujan Chakarian
;
Frederick Raymond III
;
Charles Sauer
;
Sergey Babin
;
Robert Innes
;
Allan Sagle
;
Ulrich Hofmann
;
Bassam Shamoun
;
David Trost
;
Abe Ghanbari
;
Frank Abbound
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
MEBES;
electron beam;
CD control;
CD uniformity;
CD linearity;
SPR 700;
resist heating;
25.
Simulation Study and Fabrication of High Transmittance Attenuated Phase Shifting Mask
机译:
高透射率减弱相移掩模的仿真研究与制造
作者:
Eunah Kim
;
Seungbum Hong
;
Seong-yong Moon
;
Yong-hoon Kim
;
Hee-sun Yoon
;
Kwangsoo No
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
phase shifting mask;
attenuated type;
high transmittance;
ArF-line;
n-k chart;
chromium aluminum oxide film;
26.
High resolution DUV inspection system for 150nm generation masks
机译:
高分辨率DUV检测系统150nm代面具
作者:
Mitsuo Tabata
;
Hideo Tsuchiya
;
Yasushi Sanada
;
Takeshi Nishizaka
;
Hiroaki Hirazawa
;
Noboru Kobayashi
;
Hideo Nagai
;
Tomohide Watanabe
;
Katsuki Oohashi
;
Hiromu Inoue
;
Takehiko Nomura
;
Akira Ono
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
photolithography mask;
OPC;
phase shift mask;
LSI circuit;
defect;
inspection system;
UV;
DUV;
optics;
resolution;
defect sensitivity;
27.
Beta test performance of the Leica LWM 250 UV CD measurement tool
机译:
徕卡其他250紫外线CD测量工具的测试性能
作者:
Robert K. Henderson
会议名称:
《Annual symposium on photomask technology》
|
1999年
28.
Integration of optical proximity correction strategies in strong phase shifters design for poly-gate layers
机译:
多栅极层强相改进策略的光学邻近校正策略的集成
作者:
Christopher A. Spence
;
Marina V. Plat
;
Emile Y. Sahouria
;
Nicolas B. Cobb
;
Franklin M. Schellenberg
会议名称:
《Annual symposium on photomask technology》
|
1999年
29.
Modeling of Optical Constants of Materials Comprising Photolithographic Masks in the VUV
机译:
VUV中包含光刻掩模的材料光学常数的建模
作者:
D. A. Harrison
;
J. C. Lam
;
G. G. Li
;
A. R. Forouhi
;
G. Dao
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
157-nm;
VUV;
spectrophotometry;
F-B dispersion equations;
optical constants;
30.
Reticle blank inspection and its role in zero-defect manufacturing
机译:
光栅空白检查及其在零缺陷制造中的作用
作者:
Kevin Krause
;
William B. Howard
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
PBS;
STARlight;
blank;
reticle;
defects;
31.
Next Generation Lithography Mask Development at the NGL Mask Center for Competency
机译:
NGL面具屏蔽中心的下一代光刻掩模开发竞争力
作者:
Michael Lercel
;
Cameron Brooks
;
Kenneth Racette
;
Christopher Magg
;
Mark Lawliss
;
Neal Caldwell
;
Raymond Jeffer
;
Kevin Collins
;
Monica Barrett
;
Steven Nash
;
Michael Trybendis
;
Lucien Bouchard
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
Next Generation Lithography;
mask;
x-ray lithography;
electron beam projection lithography;
SCALPEL;
EUV;
stencil;
32.
ZrSiO: a new and robust material for attenuated phase-shift mask in ArF lithography
机译:
ZRSIO:用于ARF光刻中的衰减相移掩模的新型和鲁棒材料
作者:
Toshio Onodera
;
Takahiro Matsuo
;
Keisuke Nakazawa
;
Junji Miyazaki
;
Tohru Ogawa
;
Hiroaki Morimoto
;
Takashi Haraguchi
;
Nobuhiko Fukuhara
;
Tadashi Matsuo
;
Masao Otaki
;
Susumu Takeuchi
会议名称:
《Annual symposium on photomask technology》
|
1999年
33.
Advanced mask technology for 230mm reticle fabrication
机译:
适用于230mm的高级掩模技术制造
作者:
K. Hiruta
;
S. Kubo
;
T. Iwamatsu
;
T. Fujisawa
;
M. Sugiyama
;
H. Morimoto
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
230mm reticle;
advanced mask;
reticle fabrication;
34.
Defect printability measurement on the KLA-351: correlation to defect sizing using the AVI metrology system
机译:
在KLA-351上缺陷可印刷性测量:使用AVI Metrology系统的相关性与缺陷尺寸的相关性
作者:
Peter Fiekowsky
;
Daniel Selassie
会议名称:
《Annual symposium on photomask technology》
|
1999年
35.
Simulation study and fabrication of high-transmittance attenuated phase-shifting mask
机译:
高透射率减振相移掩模的仿真研究与制造
作者:
Eunah Kim
;
Seungbum Hong
;
Seong-Yong Moon
;
Yong H. Kim
;
Heesun Yoon
;
Kwang-Soo No
会议名称:
《Annual symposium on photomask technology》
|
1999年
36.
Properties of fused silica for 157-nm photomasks
机译:
157-NM光掩模的熔融二氧化硅的性质
作者:
Lisa A. Moore
;
Charlene M. Smith
会议名称:
《Annual symposium on photomask technology》
|
1999年
37.
Chemically amplified positive resist for the next generation photomask fabrication
机译:
用于下一代光掩模制造的化学放大阳性抗蚀剂
作者:
Kohji Katoh
;
Kei Kasuya
;
Tadashi Arai
;
Toshio Sakamizu
;
Hidetoshi Satoh
;
Hidetaka Saitoh
;
Morihisa Hoga
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
chemically amplified positive resist;
50kV EB mask-writing system;
novolak-based resist;
vertival resist profiles;
post exposure delay stability;
post coating delay stability;
38.
CD measurements of IPL stencil masks with optical microscopes
机译:
具有光学显微镜的IPL模板掩模的CD测量
作者:
Arne Bentfeldt
;
Albrecht Ehrmann
;
Thomas Schaetz
;
Thomas Struck
会议名称:
《Annual symposium on photomask technology》
|
1999年
39.
CD performance of a new high-resolution laser pattern generator
机译:
新型高分辨率激光图案发电机的CD性能
作者:
Per Liden
;
Tomas Vikholm
;
Lars Kjellberg
;
Mans Bjuggren
;
Klas A. Edgren
;
John-Oscar Larson
;
Steven Haddleton
;
Per Askebjer
会议名称:
《Annual symposium on photomask technology》
|
1999年
40.
High resolution thickness measurements and evaluation of a photomask blank
机译:
光掩模空白的高分辨率厚度测量和评估
作者:
Teruyoshi Hirano
;
Ryuji Matsuo
;
Kozue Tomiyama
;
Ichiro Yazawa
;
Hiroshi Wada
;
Masao Otaki
;
Kazuhiko Omote
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
photomask blank;
thickness;
density;
interface roughness;
X-ray reflectivity;
grazing-incidence;
41.
Absorbing assist pattern technique (A~2PT) for effective sidelobe control for attenuated phase-shifting masks in optical projection lithography
机译:
吸收辅助图案技术(A〜2PT)用于光学投影光刻中的减毒相移掩模的有效侧链控制
作者:
Rainer Pforr
;
Fritz Gans
;
Juergan Knobloch
;
Joerg Thiele
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
attenuated phase-shifting masks;
sidelobes;
DUV;
process window;
42.
Repair and printability study of binary chrome masks with OPC features for 0.18-um technology node
机译:
具有0.18-UM技术节点的OPC功能的二元铬掩模的修复和可印刷性研究
作者:
Diane K. Stewart
;
David C. Ferranti
;
John C. Morgan
;
Joshua Lessing
;
Jeff Kuo
;
Ching S. Chiu
会议名称:
《Annual symposium on photomask technology》
|
1999年
43.
High Transmission PSM Inspection Sensitivity
机译:
高传输PSM检测灵敏度
作者:
Chun-Hung Wu
;
David Wang
;
Chien-Ming Wang
;
Li-Jui Chen
;
Shuo-Yen Chou
;
Clare Wu
;
Nathan Schumannn
;
Reuven Falah
;
Wolf Staud
会议名称:
《Annual symposium on photomask technology》
|
1999年
44.
Incorporation of laser proximity correction into mask production
机译:
将激光接近校正掺入掩模生产
作者:
Anja Rosenbusch
;
James Unruh
;
Hartmut Kirsch
;
David Chan
会议名称:
《Annual symposium on photomask technology》
|
1999年
45.
Transmission and phase balancing of alternating phase-shifting masks (5x): theoretical and experimental results
机译:
交替相移掩模的传输和相平衡(5x):理论和实验结果
作者:
Uwe A. Griesinger
;
Rainer Pforr
;
Juergen Knobloch
;
Christoph Friedrich
会议名称:
《Annual symposium on photomask technology》
|
1999年
46.
Fabrication process of Cr-based attenuated phase shift masks for KrF excimer laser lithography
机译:
KRF准分子激光光刻CR基减振相移掩模的制造过程
作者:
Ichiro Kagami
;
Kiichi Ishikawa
;
Daichi Kakuta
;
Hiroichi Kawahira
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
dry etch;
chemical-amplified negative-resist;
chrome-based attenuated blanks;
phase control;
clear defect;
carbon deposition;
47.
Electron-beam lithography simulation for mask making: V. Impact of GHOST proximity effect correction on process window
机译:
用于掩模的电子束光刻模拟:V. Ghost接近效应校正对过程窗口的影响
作者:
Chris A. Mack
;
Charles A. Sauer
会议名称:
《Annual symposium on photomask technology》
|
1999年
48.
Traceability reproducibility and comparability of grid calibrations
机译:
可追溯性再现性和电网校准的可比性
作者:
Harald Bosse
;
Wolfgang Haessler-Grohne
;
Bernd Brendel
会议名称:
《Annual symposium on photomask technology》
|
1999年
49.
Definition of New Quality Criteria and Assessment Means for Masks at 150nm Design Rules and Beyond
机译:
新质量标准的定义和评估手段在150nm设计规则及以外的掩模方面的定义
作者:
Emanuele Baracchi
;
Hans-Jurgen Bruck
;
Thomas Engel
;
Yair Eran
;
Frederic Lalanne
;
Olivier Maurin
;
Volodymyr Ordynskyy
;
Thomas Schatz
;
Karl Sommer
会议名称:
《Annual symposium on photomask technology》
|
1999年
50.
Transmission Phase Balancing of alternating Phase Shifting Masks (5X) - Theoretical Experimental Results
机译:
交替相移掩模的传输和相平衡 - 理论和实验结果
作者:
Uwe A. Griesinger
;
Rainer Pforr
;
Jurgen Knobloch
;
Christoph Fiedrich
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
alternating phase shifting mask;
transmission balancing;
phase balancing;
3D-mask simulation;
51.
Study of TiSi-nitride based attenuated phase shift mask for ArF lithography
机译:
基于ARF光刻的TISI-氮化物的减毒相移掩模研究
作者:
Sang-Sool Koo
;
Ik-Boum Hur
;
Yung-Mo Koo
;
Ki-Ho Baik
;
Il-Hyun Choi
;
Lee-Ju Kim
;
Keun-Taek Park
;
Chul Shin
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
ArF lithography;
TiSi-Nitride based attenuated PSM;
mask making process;
optimal dry etching condition;
lithographic performance;
52.
Reticle defect size calibration using low-voltage SEM and pattern recognition techniques for sub-200-nm defects
机译:
使用低压SEM和图案识别技术的掩模版缺陷尺寸校准,用于子 - 200-NM缺陷
作者:
Larry S. Zurbrick
;
Steve Khanna
;
Jay Lee
;
James J. Greed
;
Ellen R. Laird
;
Rene M. Blanquies
会议名称:
《Annual symposium on photomask technology》
|
1999年
53.
Next-generation lithography mask development at the NGL Mask Center of Competency
机译:
NGL面具竞争力的下一代光刻掩模开发
作者:
Michael J. Lercel
;
Cameron J. Brooks
;
Kenneth C. Racette
;
Christopher Magg
;
Mark Lawliss
;
Neal Caldwell
;
Raymond Jeffer
;
Kevin W. Collins
;
Monica Barrett
;
Steven C. Nash
;
Michael J. Trybendis
;
Lucien Bouchard
会议名称:
《Annual symposium on photomask technology》
|
1999年
54.
Effects of mask error factor on process window capability
机译:
掩模误差因子对过程窗口能力的影响
作者:
Dan L. Schurz
;
Warren W. Flack
;
Simon J. Cohen
;
Thomas H. Newman
;
Khiem T. Nguyen
会议名称:
《Annual symposium on photomask technology》
|
1999年
55.
CD error sensitivity to 'sub-killer' defects at k1 near 0.4: II
机译:
CD误差敏感性在0.4:II附近K1的“子杀手”缺陷
作者:
Kent H. Nakagawa
;
Jang Fung Chen
;
Robert J. Socha
;
Mircea V. Dusa
;
Tom L. Laidig
;
Kurt E. Wampler
;
Roger F. Caldwell
;
Douglas J. Van Den Broeke
会议名称:
《Annual symposium on photomask technology》
|
1999年
56.
PMJ'99 Panel discussion review: OPC mask technology for KrF lithography
机译:
PMJ'99小组讨论评论:用于KRF光刻的OPC面罩技术
作者:
Hiroichi Kawahira
;
Naoya Hayashi
;
Hideaki Hamada
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
mask error enhancement factor;
process window;
defect;
inspection;
repair;
critical dimension;
57.
Beta Test Performance of the Leica LWM 250 UV CD Measurement Tool
机译:
徕卡其他250紫外线CD测量工具的测试性能
作者:
Robert K. Henderson
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
CDs;
I-line;
broadband;
accuracy;
precision;
58.
Die to Die and Die to Database capability analysis for advanced OPC inspection
机译:
死于先进OPC检查的数据库能力分析
作者:
Jerry X. Chen
;
Drew Russell
;
Robert Terhune
;
John Riddick
;
Franklin D. Kalk
;
Kevin Lucas
;
Bard Falch
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
runability;
OPC inspection;
capability analysis;
die to die;
die to database;
59.
Die-to-die and die-to-database capability analysis for advanced OPC inspection
机译:
高级OPC检测的模具模具和模具数据库能力分析
作者:
Jerry X. Chen
;
Drew R. Russell
;
Robert Terhune
;
John Riddick
;
Franklin D. Kalk
;
Kevin D. Lucas
;
Bradley J. Falch
会议名称:
《Annual symposium on photomask technology》
|
1999年
60.
Dry Etch Yield Enhancement by Use of After-Develop Inspection
机译:
通过使用后检验干燥蚀刻产量增强
作者:
F. Kalk
;
K. Brankner
;
L. Peters
;
A. Vacca
;
S. Pomeroy
;
D. Emery
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
dry etch;
after develop inspection;
inspection;
iP3600;
ZEP7000;
61.
Birefringence dispersion in photomask substrates for DUV lithography
机译:
DUV光刻光掩模基材的双折射色散
作者:
Richard Priestley
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
birefringence;
dispersion;
optical lithography;
polarization;
photomask substrate;
62.
When calibration is not enough
机译:
当校准不够
作者:
Jeffrey R. Kingsley
;
Leslie Johnson
会议名称:
《Annual symposium on photomask technology》
|
1999年
63.
Defect Sensitivity and Inspectability of the KLA SEMSpec for Alternating Phase-Shift Masks
机译:
KLA SEMSPEC用于交替相移掩模的缺陷灵敏度和无视力
作者:
Jason M. Benz
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
AltPSM;
inspection;
defect;
sensitivity;
inspectability;
phase;
optical;
SEMSpec;
64.
Characterization of a non-chemically amplified resist for photomask fabrication using a 257 nm optical pattern generator
机译:
使用257nm光学图案发生器对光掩模制造的非化学放大抗蚀剂的表征
作者:
Benjamen M. Rathsack
;
Cyrus E. Tabery
;
Timothy B. Stachowiak
;
Tim Dallas
;
Cheng-Bai Xu
;
Mike Pochkowski
;
C. Grant Willson
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
DUV;
non-chemically amplified photoresist;
lithography simulation and photomask;
65.
Optimization of ZEP7000 writing and development conditions
机译:
优化ZEP7000写作和开发条件
作者:
Daniel Courboin
;
Philippe Gervot
;
Chantal Gayou
;
Patrick Montarou
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
ZEP 7000;
electron beam;
puddle development;
dry etch;
photomask;
66.
TiSi-nitride-based attenuated phase-shift mask for ArF lithography
机译:
基于TISI-氮化物的衰减相移掩模,用于ARF光刻
作者:
Sang-Sool Koo
;
Ikboum Hur
;
Youngmo Koo
;
Ki-Ho Baik
;
Il-Hyun Choi
;
Leeju Kim
;
Keuntaek Park
;
Chul Shin
会议名称:
《Annual symposium on photomask technology》
|
1999年
67.
Birefringence dispersion in photomask substrates for DUV lithography
机译:
DUV光刻光掩模基材的双折射色散
作者:
Richard Priestley
会议名称:
《Annual symposium on photomask technology》
|
1999年
68.
Sub-0.18 μm Line/Space Lithography using 248nm Scanners and Assisting Feature OPC Masks
机译:
使用248nm扫描仪的Sub-0.18μm线/空间光刻和辅助功能OPC面具
作者:
H. T. Lin
;
John C. H. Lin
;
C. S. Chiu
;
Y. Y. Wang
;
Anthony Yen
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
assisting feature;
mask error factor;
OPC mask CD control;
mask defect;
and optical proximity correction;
69.
CD performance of a new high-resolution laser pattern generator
机译:
新型高分辨率激光图案发电机的CD性能
作者:
Per Liden
;
Tomas Vikholm
;
Lars Kjellberg
;
Mans Bjuggren
;
Klas Edgren
;
Johan Larsson
;
Steven Haddleton
;
Per Askebjer
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
CD uniformity;
laser pattern generator;
180 nm photomasks;
AOD;
70.
New mask blank handling system for the advanced electron-beam writer
机译:
用于先进电子束作家的新面具空白处理系统
作者:
Shusuke Yoshitake
;
Kenji Ooki
;
Ryoichi Hirano
;
Toru Tojo
;
Yoji Ogawa
;
Katsuhito Ogura
;
Teruaki Yamamoto
;
Masaki Toriumi
;
Yoshiaki Tada
会议名称:
《Annual symposium on photomask technology》
|
1999年
71.
High-resolution DUV inspection system for 150-nm generation masks
机译:
150纳米生成面具的高分辨率DUV检测系统
作者:
Mitsuo Tabata
;
Hideo Tsuchiya
;
Yasushi Sanada
;
Takeshi Nishizaka
;
Hiroaki Hirazawa
;
Noboru Kobayashi
;
Hideo Nagai
;
Tomohide Watanabe
;
Katsuki Oohashi
;
Hiromu Inoue
;
Takehiko Nomura
;
Akira Ono
会议名称:
《Annual symposium on photomask technology》
|
1999年
72.
Post develop inspection for the defect control by using Lasertec 9MD83SRII system
机译:
使用Lasertec 9MD83SRII系统进行缺陷控制的开发检查
作者:
A. Wang
;
W. Shen
;
T. Nakashima
;
K. Ozawa
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
lasertec;
defect control;
inspection;
resist development;
dry etching;
73.
Realization of practical attenuated phase-shift mask with high-transmission KrF excimer laser exposure
机译:
具有高传输KRF准分子激光曝光的实用减弱相移掩模的实现
作者:
Nobuhito Toyama
;
Hiroyuki Miyashita
;
Yasutaka Morikawa
;
Hiroshi Fujita
;
Kazuya Iwase
;
Hiroshi Mohri
;
Naoya Hayashi
;
Hisatake Sano
会议名称:
《Annual symposium on photomask technology》
|
1999年
74.
1999 mask industry quality assessment
机译:
1999年面具行业质量评估
作者:
Brian J. Grenon
会议名称:
《Annual symposium on photomask technology》
|
1999年
75.
Cleaning of SCALPEL next-generation lithography masks using PLASMAX a revolutionary dry cleaning technology
机译:
使用Plasmax清洁手术刀的下一代光刻面罩是一种革命性的干洗技术
作者:
John J. Festa
;
Anthony E. Novembre
;
Darryl A. Bennett
;
Richard J. Kasica
;
Brad Bailey
;
Myrtle I. Blakey
会议名称:
《Annual symposium on photomask technology》
|
1999年
76.
Extension of graybeam writing for the 130 nm technology node
机译:
为130 nm技术节点扩展灰白色写作
作者:
Jan M. Chabala
;
Frank Abbound
;
Charles Sauer
;
Suzanne Weaver
;
Maiying Lu
;
Henry Pearch-Percy
;
Ulrich Hofmann
;
Matthew Vernon
;
Dinh Ton
;
Damon Cole
;
Robert Naber
会议名称:
《Annual symposium on photomask technology》
|
1999年
77.
MEEF in Theory and practice
机译:
理论与实践中的MEEF
作者:
F. M. Schellenberg
;
Chris Mack
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
MEEF;
Mask Error Factor;
MEF;
optical lithography;
OPC;
optical proximity correction;
78.
High-resolution thickness measurements and evaluation of a photomask blank
机译:
光掩模空白的高分辨率厚度测量和评估
作者:
Teruyoshi Hirano
;
Yuji Matsuo
;
Kozue Tomiyama
;
Ichiro Yazawa
;
Hiroshi Wada
;
Masao Otaki
;
Kazuhiko Omote
会议名称:
《Annual symposium on photomask technology》
|
1999年
79.
Experimental study of mask line edge roughness transfer in DUV and EUV lithography patterning process
机译:
DUV和EUV光刻图案化过程中掩模线边缘粗糙度转移的实验研究
作者:
Peiyang Yan
;
Guojing Zhang
会议名称:
《Annual symposium on photomask technology》
|
1999年
80.
Analysis of photomask CD errors depending on development methods
机译:
根据显影方法分析光掩模CD误差
作者:
Seong-Yong Moon
;
Won-Tai Ki
;
Byung-Cheol Cha
;
Song-Woon Choi
;
Heesun Yoon
;
Jung-Min Sohn
会议名称:
《Annual symposium on photomask technology》
|
1999年
81.
Realization of practical attenuated phase-shift mask with high-transmission KrF excimer laser exposure
机译:
具有高传输KRF准分子激光曝光的实用减弱相移掩模的实现
作者:
Nobuhito Toyama
;
Hiroyuki Miyashita
;
Yasutaka Morikawa
;
Hiroshi Fujita
;
Kazuya Iwase
;
Hiroshi Mohri
;
Naoya Hayashi
;
Hisatake Sano
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
mask;
embedded attenuated phase shift mask;
laser proximity correction;
EPSM;
high transmission EPSM;
AIMS;
optical simulator;
82.
Improvement of CD accuracy for next-generation reticles using HL-800M and CA resists
机译:
使用HL-800M和CA抗蚀剂改善下一代掩模的CD精度
作者:
Hidetoshi Satoh
;
Yasunari Sohda
;
Hidetaka Saitoh
;
Morihisa Hoga
;
Suyo Asai
;
Katsuhiro Kawasaki
;
Kazui Mizuno
会议名称:
《Annual symposium on photomask technology》
|
1999年
83.
Measurement of residual birefringence in photomask blanks
机译:
光掩模坯料残留双折射的测量
作者:
Baoliang Wang
;
Patrick M. Troccolo
会议名称:
《Annual symposium on photomask technology》
|
1999年
84.
Evaluation of lens aberrations depending on the transmittance of DUV attenuated PSM
机译:
根据DUV减毒PSM的透射率评估镜头像差
作者:
Jo-Hyun Park
;
Yong-Hoon Kim
;
Sung-Chul Lim
;
Kyung-Hee Lee
;
Seong-Woon Choi
;
Hee-Sun Yoon
;
Jung-Min Sohn
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
high transmittance attenuated phase-shift-mask;
lens aberrations and uDOF;
85.
Performance of the EL-4+ maskwriter for advanced chrome on glass reticles
机译:
在玻璃掩模上的高级铬的EL-4 + MaskWriter的性能
作者:
Neal Caldwell
;
Raymond Jeffer
;
Mark Lawliss
;
John G. Hartley
会议名称:
《Annual symposium on photomask technology》
|
1999年
86.
Options for at-wavelength inspection of patterned extreme ultraviolet lithography masks
机译:
图案化极端紫外线光刻面罩的有关检测选项
作者:
Edita Tejnil
;
Alan Stivers
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
extreme ultraviolet lithography mask;
mask inspection;
at-wavelength inspection;
87.
Extension of graybeam writing for the 130-nm technology node
机译:
延长130纳米技术节点的灰白色写作
作者:
Jan M. Chabala
;
Frank E. Abboud
;
Charles A. Sauer
;
Suzanne Weaver
;
Maiying Lu
;
Henry T. Pearce-Percy
;
Ulrich Hofmann
;
Matthew Vernon
;
Dinh Ton
;
Damon M. Cole
;
Robert J. Naber
会议名称:
《Annual symposium on photomask technology》
|
1999年
88.
Improving CDs on a MEBES system by improving the ZEP 7000 development and dry etch process
机译:
通过改进诗歌7000发育和干蚀刻过程,改善MEBES系统上的CDS
作者:
Barbara Albrethsen-Keck
;
Maiying Lu
;
Charles Sauer
会议名称:
《Annual symposium on photomask technology》
|
1999年
89.
Control methodology of off-target for varying pattern densities with chrome dry etch
机译:
铬干蚀刻不同图案密度的脱离目标的控制方法
作者:
Jurgen Hochmuth
;
Gunther Ruhl
;
Tom Coleman
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
dry etch;
macroloading;
ZEP 7000;
90.
Method to devise multiphase complex mask in submicron lithography
机译:
在亚微米光刻中设计多相复合掩模的方法
作者:
Xiangang Luo
;
HanMin Yao
会议名称:
《Annual symposium on photomask technology》
|
1999年
91.
Damage control during dry etching of EUV mask: I. Control of surface roughness
机译:
EUV掩模干蚀刻过程中的损伤控制:I。表面粗糙度控制
作者:
Eiichi Hoshino
;
Taro Ogawa
;
Masashi Takahashi
;
Hiromasa Hoko
;
Hiromasa Yamanashi
;
Naoya Hirano
;
Shinji Okazaki
会议名称:
《Annual symposium on photomask technology》
|
1999年
92.
A proposed successor to barcode for automated reticle identification
机译:
一个拟议的继任者到条形码,用于自动掩模版识别
作者:
Thomas White
;
Winthrop Baylies
;
Andrew Bernal
;
John Merva
;
Bill Bouvier
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
reticle;
data matrix;
barcode;
identification;
symbology;
93.
Dry F doped fused silica for photo-mask substrate in 157 nm lithography
机译:
157 nm光刻中的光掩模基板的干燥&F掺杂熔融二氧化硅
作者:
Hiroki Jinbo
;
Seishi Fujiware
;
Norio Komine
;
Naomasa Shiraishi
;
Soichi Owa
会议名称:
《Annual symposium on photomask technology》
|
1999年
关键词:
lithography;
fused silica;
glass;
mask;
157 nm;
F~2 laser;
transmittance;
internal loss;
absorption;
scattering;
laser;
durability;
index homogeneity;
birefringence;
意见反馈
回到顶部
回到首页