首页> 外文会议>Annual SEMI Advanced Semiconductor Manufacturing Conference >Vertical natural capacitor time dependent dielectric breakdown (TDDB) improvement in 28nm
【24h】

Vertical natural capacitor time dependent dielectric breakdown (TDDB) improvement in 28nm

机译:垂直自然电容器的时变介电击穿(TDDB)改善了28nm

获取原文

摘要

Ultra Low-K films are used in advanced technologies as an interlayer dielectric in Cu processing. Due to its high porosity, it poses a lot of process challenges. This paper discusses one challenge it posed for reliability of vertical natural capacitors (VNCAP). When a new Cu-CMP slurry was evaluated for its improved performance for defects and uniformity, degradation of the time dependent dielectric breakdown (TDDB) lifetime for VNCAP was observed. Studies have been performed to characterize the interaction of the deposited film to the CMP process. In the course of this investigation, it was observed that the post-CMP clean chemistry impacts the TDDB lifetime. By characterizing the ULK surface post-CMP, and establishing inline correlations to TDDB lifetime, a new process was identified quickly to improve the TDDB lifetime by 2 orders.
机译:Ultra Low-K膜在先进技术中用作Cu处理中的层间电介质。由于其高孔隙率,它带来了许多工艺挑战。本文讨论了它对垂直自然电容器(VNCAP)的可靠性提出的挑战。当评估一种新的Cu-CMP浆料在缺陷和均匀性方面的改进性能时,发现VNCAP的时间依赖性介电击穿(TDDB)寿命下降。已经进行了研究以表征沉积膜与CMP工艺的相互作用。在调查过程中,观察到CMP后的清洁化学会影响TDDB的寿命。通过表征CMP后的ULK表面,并建立与TDDB寿命的内联相关性,快速确定了一种新工艺,可将TDDB寿命提高2个数量级。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号