掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual SEMI Advanced Semiconductor Manufacturing Conference
Annual SEMI Advanced Semiconductor Manufacturing Conference
召开年:
2015
召开地:
Saratoga Springs, NY(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
300mm wafer level sulfur monolayer doping for III–V materials
机译:
用于III–V材料的300mm晶圆级硫单层掺杂
作者:
Loh W.-Y.
;
Lee R.T.P.
;
Tieckelmann R.
;
Orzali T.
;
Sapp B.
;
Hobbs C.
;
Papa Rao S.S.
;
Fuse K.
;
Sato M.
;
Fujiwara N.
;
Chang L.
;
Uchida H.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Monolayer Doping;
Nanoscale;
Shallow Junctions;
2.
450mm SEMI physical interface standards: Architecture and efficiency
机译:
450mm SEMI物理接口标准:体系结构和效率
作者:
Haddadin Mutaz
;
Radloff Stefan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
450mm;
SEMI;
standards;
3.
A case study on severe yield loss caused by wafer arcing in BEOL manufacturing
机译:
BEOL制造中晶圆电弧造成严重成品率损失的案例研究
作者:
Hong-Ji Lee
;
Hsu-Sheng Yu
;
Shih-Chin Lee
;
Chih-Kai Yang
;
Shao-En Chang
;
Kuo-Feng Lo
;
Xin-Guan Lin
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Vpp;
plasma etch;
tungsten defect;
wafer arcing;
yield loss;
4.
A study of feed-forward strategies for overlay control in lithography processes using CGS technology
机译:
使用CGS技术进行光刻过程中的覆盖控制的前馈策略研究
作者:
Anberg Doug
;
Owen David M.
;
Byoung-Ho Lee
;
Shetty Shrinivas
;
Bouche Eric
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Advanced Process Control;
CGS interferometery;
Excursion control;
Overlay;
Process induced displacement;
5.
Advanced contamination control methods for yield enhancement
机译:
先进的污染控制方法可提高产量
作者:
Richter H.
;
Leibold A.
;
Altmann R.
;
Doffek B.
;
Koebl J.
;
Pfeffer M.
;
Bauer A.
;
Schneider G.
;
Cheung D.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
contamination control;
organic contamination;
precious metal verification;
qualitative and quantitative measurment methods;
6.
Advanced Process Control (APC) and Real Time Dispatch (RTD) system integration for etch depth control process in 300mm Fab
机译:
先进的工艺控制(APC)和实时分派(RTD)系统集成,用于300mm晶圆厂中的蚀刻深度控制工艺
作者:
Agrawal Gaurav K.
;
Soon Yoong Loh
;
Shebi Abemelek B.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
300mm Semiconductor Manufacturing;
APC;
Etch;
RTD;
Run-to-Run;
System Integration;
7.
An empirical approach to accurate single wafer wet etch simulation
机译:
精确地单晶片湿法蚀刻仿真的经验方法
作者:
Singh Manish Kumar
;
Ping-Jung Huang
;
Yu Pi-Chun
;
Shih Jack
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
modeling;
wet etch;
8.
Application of a 3-Step Kaizen Strategy for improvement of WIP flow in a semiconductor fab
机译:
应用三步改善战略改善半导体晶圆厂中的在制品流动
作者:
Comulada Samantha
;
Mendola Justin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
gemba;
kaizen;
lean;
manufacturing;
team;
9.
Application of backscattered electron imaging for process development in advanced technology nodes
机译:
背散射电子成像在先进技术节点工艺开发中的应用
作者:
Ming Lei
;
Wu Kevin
;
Hoang Nguyen
;
Mingchu King
;
Hong Xiao
;
Spivak Dmitry
;
Brown Jim
;
Moreau Olivier
;
MacDonald Paul
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
10.
Automatic metrology algorithm identification using Pattern Matching
机译:
使用模式匹配的自动计量算法识别
作者:
Fischer Daniel S.
;
Akid Khaled
;
Abdelhaliem Dina
;
Abed Islam S.
;
LeBritton Joe
;
Opitz Juli
;
Dragiewicz Nick
;
McGinty Christopher
;
Lavigne Erin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
CD-SEM Metrology;
Metrology;
Metrology Algorithm;
Pattern Matching;
11.
Backside and edge cleaning of III–V on Si wafers for contamination free manufacturing
机译:
硅晶片上III–V的背面和边缘清洁,可实现无污染制造
作者:
Vert Alexey
;
Orzali Tommaso
;
Dyer Tom
;
Hill Richard
;
Satyavolu PapaRao
;
Barth Edward
;
Gaylord Richard
;
Shan Hu
;
Vivekanand Saikumar
;
Herman Joshua
;
Rana Uzma
;
Kaushik Vidya
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Backside Cleaning;
Contamination Free Manufacturing;
III–V materials;
12.
Big data emergence in semiconductor manufacturing advanced process control
机译:
半导体制造高级过程控制中的大数据出现
作者:
Moyne James
;
Samantaray Jamini
;
Armacost Mike
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Big Data;
Prediction;
Predictive Maintenance;
Virtual Metrology;
yield prediction;
13.
Blowback filtration for CVD vacuum pump protection
机译:
反吹过滤保护CVD真空泵
作者:
Ruth Jochen
;
Wagner Matthew L.
;
Heser Gerd
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
14.
Bridging the gap - integrating APC constraints and WIP flow optimization to enhance automated decision making in semiconductor manufacturing
机译:
缩小差距-整合APC约束和WIP流程优化以增强半导体制造中的自动化决策
作者:
Stehli Marcel
;
Zschabitz Daniel
;
Jahnig Thomas
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
APC;
Dispatching;
Scheduling;
System Integration;
15.
CD metrology for EUV lithography and etch
机译:
CD计量学用于EUV光刻和蚀刻
作者:
Johanesen Hayley
;
Kenslea Anne
;
Williamson Mark
;
Knowles Matt
;
Kwakman Laurens
;
Levi Shimon
;
Nishry Noam
;
Adan Ofer
;
Englard Ilan
;
Van Puymbroeck Jan
;
Felder Dan
;
Gov Shahar
;
Cohen Oded
;
Turovets Igor
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
CD metrology;
CD-SEM;
EUV patterning;
LER;
LWR;
OCD;
STEM;
accuracy;
reproducibility;
16.
Challenges in integrating embedded non volatile memory with floating poly and ONO in base line process
机译:
在基线过程中将嵌入式非易失性存储器与浮动poly和ONO集成的挑战
作者:
Agam Moshe
;
Menon Santosh
;
Cosmin Peter
;
Yao Thierry
;
McGrath Peter
;
Ruiz Bladimiro
;
Baylis Brian
;
Ameele Eric
;
Rolofson Kirk
;
Young Roger
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
BARC;
EEPROM;
FLASH;
Floating poly contact;
ONO;
ONO Fence;
ONO etch;
Poly stringers;
Resist lifting;
SCI clean;
17.
Collapse-free patterning of high aspect ratio silicon structures for 20nm NAND Flash technology
机译:
用于20nm NAND闪存技术的高纵横比硅结构的无塌陷图案
作者:
Iyengar Vikram V.
;
Chandrasekaran Suresh
;
Weddington Darryl
;
Nettles Monte M.
;
Eagle Oliver H.
;
Tey Shin Hwee
;
Parry Thad B.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Collapse;
NAND;
aspect ratio;
program disturb;
stiction;
18.
Composite attribute method and software to interlock semiconductor product design and manufacturing yield
机译:
联锁半导体产品设计与制造良率的复合属性方法与软件
作者:
Bickford Jeanne P.
;
Rolfing Lori
;
Sullivan Candance
;
They Carlos
;
Wolf Edward M.
;
Yoder Joseph W.
;
Niekrewicz Paul
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
circuit content;
design changes;
yield;
19.
Conceptual Product Planning
机译:
产品概念规划
作者:
Bannister Rose
;
Bickford Jeanne Paulette
;
Johnson Haylley M.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
attributes;
bill of material;
capacity reservation;
concept part number;
mask orders;
20.
Correlation of non-visual defects at post copper CMP to yield critical physical defects at next metallization layer
机译:
铜CMP后的非视觉缺陷与下一个金属化层产生关键物理缺陷的相关性
作者:
Specht M.
;
Franke H.
;
Luxenhofer O.
;
Mai K.
;
Usry W.
;
Newcomb R.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
21.
CPE run-to-run overlay control for High Volume Manufacturing
机译:
CPE批量生产的运行到运行重叠控制
作者:
Subramany Lokesh
;
Woong Jae Chung
;
Gutjhar Karsten
;
Garcia-Medina Miguel
;
Sparka Christian
;
Yap Lipkong
;
Demirer Onur
;
Karur-Shanmugam Ramkumar
;
Riggs Brent
;
Ramanathan Vidya
;
Robinson John C.
;
Pierson Bill
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Baseline control;
CPE;
Correction per Exposure;
Field-by-Field Correction;
K-T Analyzer;
Overlay;
Overlay Control;
Residual;
Scanner;
22.
Critical sensitivity of flash gate dimension spread on electrical performances for advanced embedded memory
机译:
闪存门尺寸的临界灵敏度对高级嵌入式存储器的电性能产生影响
作者:
Agharben El Amine
;
Roussy A.
;
Agharben El Amine
;
Bocquet M.
;
Agharben El Amine
;
Bileci M.
;
Begouin S.
;
Marchadier A.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Embedded Memory;
Intra-Die spread;
Intra-wafer Variability;
Non Volatile Memory;
Semiconductor;
23.
Deep trench capacitor in three dimensional through silicon via keepout area for electrostatic discharge protection
机译:
三维贯通硅深孔电容器,通孔保留区,用于静电放电保护
作者:
Habib Nazmul
;
Muhammad Mujahid
;
Bickford Jeanne
;
Safran John
;
Ginawi Ahmed Y.
;
Towler Fred J.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
TSV keep out zone;
deep trench capacitor;
electrostatic discharge;
stacked chips;
three dimensional;
through silicon via;
24.
Defect reduction for 20nm high-k metal gate technology
机译:
20nm高k金属栅极技术的缺陷减少
作者:
Charbois Vincent
;
Lebreton Julie
;
Savoye Mylene
;
Labonne Eric
;
Labourier Antoine
;
Dumont Benjamin
;
Lenox Chet
;
von Den Hoff Mike
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
25.
Device specific characterization of yield limiting pattern geometries by combining layout profiling with high sensitivity wafer inspection
机译:
通过将版面设计与高灵敏度晶圆检测相结合,对器件进行特定的屈服极限图形几何形状表征
作者:
Le Denmat Jean-Christophe
;
Tetar Laurent
;
Fanton Pierre
;
Yesilada Emek
;
Goirand Pierre-Jerome
;
Narasimhan Narayani
;
Parisi Paolo
;
Ramachandran Vijay
;
Kekare Sagar /A/.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Advanced Patterning;
Design-for-Manufacturing;
Yield Enhancement;
Yield Management;
26.
E-beam inspection throughput acceleration via Targeted Critical Area Inspection
机译:
通过目标关键区域检查加快电子束检查吞吐量
作者:
Patterson Oliver D.
;
Topaloglu Rasit O.
;
Hafer Richard F.
;
Lei Shuen-Cheng Chris
;
Tang Xiaohu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
E-beam inspection;
multi-column inspection;
physical defect inspection;
throughput improvement;
27.
Effect of defectivity reduction in Spacer and Junction modules on RMG defectivity
机译:
垫片和连接模块中缺陷率降低对RMG缺陷率的影响
作者:
Sehgal Akshey
;
Kuchibhatla Sridhar
;
Krishnan Bharat
;
Bhattacharyya Dhiman
;
Jing Wan
;
Hsiao-Chi Peng
;
Shi You
会议名称:
《》
|
2015年
关键词:
20 nm;
Defect Elimination;
High Volume Manufacturing;
Photoresist Stripping;
Spacer and Junction Modules;
28.
Effect of top corner rounding in BEOL to yield in advanced technologies
机译:
BEOL的上角四舍五入对先进技术产量的影响
作者:
Ramanathan Eswar
;
Silvestre Mary Claire
;
Mahalingam Anbu Selvam Km
;
Garg Niti
;
Siddhartha Siddhartha
;
Ordonio Christopher
;
Schaller John
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Film treatment;
Integrated approach;
Pre wet;
Spin speed;
Top Corner Rounding;
Wet cleans;
Yield loss;
29.
Effective wet clean method to eliminate unwanted growth SiGe defect in FinFET
机译:
有效的湿法清洁方法可消除FinFET中有害的生长SiGe缺陷
作者:
Jian Li
;
Prasad Jagdish
;
Byoung-Gi Min
;
Zhiguo Sun
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
FinFET;
SiGe defect;
elimination;
metallic particles;
unwanted growth;
wet clean;
30.
Eliminating arsenic containing residue that create killer defects in 20 nm HVM
机译:
消除在20 nm HVM中产生致命缺陷的含砷残留物
作者:
Sehgal Akshey
;
Kuchibhatla Sridhar
;
Krishnan Bharat
;
Jing Wan
;
Hsiao-Chi Peng
;
Hui Zhan
;
Jinping Liu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
20 nm;
Arsenic residue;
Nitride coated defects;
Pre-spacer cleans;
high volume manufacturing;
replacement metal gate;
31.
Emerging atomic layer deposition (ALD) processes for low thermal budget flexible electronics
机译:
用于低热预算柔性电子产品的新兴原子层沉积(ALD)工艺
作者:
Gregory Dillon
;
Marshall George
;
Eisenbraun Eric
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
ALD;
Cobalt;
Ruthenium;
SiO;
conductive;
electronics;
flexible;
polymers;
32.
Enabling future generation high-speed inspection through a massively parallel e-beam approach
机译:
通过大规模并行电子束方法实现下一代高速检测
作者:
Malloy Matt
;
Bunday Benjamin
;
Wurm Stefan
;
Thiel Brad
;
Kemen Thomas
;
Zeidler Dirk
;
Eberle Anna Lena
;
Garbowski Tomasz
;
Dellemann Gregor
;
Peters Jan Hendrik
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
33.
Energy conservation mode signalling standardization
机译:
节能模式信令标准化
作者:
Crispieri Gino
;
Czerniak Mike
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
34.
Enhanced etch process for TSV deep silicon etch
机译:
用于TSV和深硅蚀刻的增强蚀刻工艺
作者:
Qing Xu
;
Paterson Alex
;
McChesney Jon
;
Dover Russell
;
Yamaguchi Yoko
;
Eppler Aaron
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
CIS;
TSV;
deep silicon etch;
high etch rate;
scallops;
35.
Real-Time Information Base as key enabler for Manufacturing Intelligence and “Industrie 4.0”
机译:
实时信息库是制造智能和“工业4.0”的关键推动力
作者:
Luhn Gerhard
;
Habich Dirk
;
Bartl Katrin
;
Postel Johannes
;
Stevens Travis
;
Zinner Martin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Industrie 4.0;
decompositional - Cyber-Physical - system model;
linear system based information processing;
real-time information bases;
solution for “shortest algorithm problem”;
36.
Hammer test to detect BEOL process marginalities on via chains in advanced nodes
机译:
锤击测试,以检测高级节点中通孔链上的BEOL工艺边界
作者:
Mahalingam Anbu Selvam Km
;
Silvestre Mary Claire
;
Ramanathan Eswar
;
Ordonio Christopher
;
Schaller John
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Anneal;
Optical Scans;
Scribe line structures;
hammer test;
37.
High aspect ratio etch yield improvement by a novel polymer dump thickness metrology
机译:
通过新型聚合物倾卸厚度测量技术提高高深宽比蚀刻产量
作者:
Ye Jeff J.
;
Ega Ganga R.
;
Thompson Shaun P.
会议名称:
《》
|
2015年
关键词:
Capacitor;
Contact etch;
Container;
DRAM;
HARC;
Plasma etch;
Polymer;
Polymer dump thickness;
38.
Impact of molybdenum contamination on stacking faults in epitaxial silicon
机译:
钼污染对外延硅堆垛层错的影响
作者:
McCormick Michael
;
Porath Paul
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
39.
Improved ion implant exhaust management for reduced energy and capital costs
机译:
改进的离子注入排气管理,降低了能源和资本成本
作者:
Sweeney Joe
;
Olander Karl
;
Ballance Steven
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
40.
Improving reliability through nitrogen purge of carriers
机译:
通过载流子的氮气净化提高可靠性
作者:
van Roijen Raymond
;
Amanda Aurelia
;
Ayala Javier
;
Morgenfeld Laura
;
La Rosa Giuseppe
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Nitrogen purge;
RIE;
reliability;
yield;
41.
In-line inspection of DRC generated Hotspots
机译:
在线检查DRC生成的热点
作者:
Srivastava Amit
;
Nguyen Hoang
;
Hermann Thomas
;
Kirsch Remo
;
Kini Rajeev
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
DRC;
Design Hotspot;
NanoPoint;
in-line defect inspection;
42.
Inspection challenges for triple patterning at sub-14 nm nodes with broadband plasma inspection platforms
机译:
使用宽带等离子体检测平台在14纳米以下节点进行三重图案化的检测挑战
作者:
Halder Sandip
;
Truffert Vincent
;
van den Heuvel Dieter
;
Leray Philippe
;
Shaunee Cheng
;
McIntyre Greg
;
Sah Kaushik
;
Brown Jim
;
Parisi Paolo
;
Polli Marco
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
43.
Inspection step modeling for defect source tool identification using defectivity control
机译:
使用缺陷控制的缺陷源工具识别检查步骤建模
作者:
Chakaroun Mohamad
;
Messouci Rabah
;
Djeziri Mohand
;
Ouladsine Mustapha
;
Pinaton Jacques
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
44.
Integrated metrology's role in Gas Cluster Ion Beam etch
机译:
集成计量学在气体团簇离子束蚀刻中的作用
作者:
Kagalwala Taher
;
Dasaka Ravi
;
Aquilino Michael
;
Economikos Laertis
;
Cepler Aron
;
Kang Charles
;
Yellai Naren
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
45.
Local wafer temperature non-uniformity correction with laser irradiation
机译:
用激光辐照校正局部晶圆温度不均匀性
作者:
Rao Preetham P.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Laser heating of wafer;
scanning laser;
wafer temperature uniformity;
46.
Managing fab UHP N
2
by measuring trace moisture
机译:
通过测量痕量水分来管理晶圆厂UHP N
2 inf>
作者:
Barth Douglas C.
;
Bolkenius Marion
;
Wagner Matthew L.
;
Cloarec Jean-Luc
会议名称:
《》
|
2015年
47.
Managing the economic constraints of foundries and fabless enterprises
机译:
管理铸造厂和无晶圆厂企业的经济约束
作者:
Weber Charles
;
Jiting Yang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
cost;
design;
fabless;
foundry;
photomask;
48.
MEMS manufacturing solutions
机译:
MEMS制造解决方案
作者:
Fukui Jumpei
;
Osanai Makoto
;
Mizoroke Shigeo
;
Khorram Hamid R.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
ABS;
Backside Alignment;
MEMS;
Power Device;
SiC;
TAIKO;
49.
Methodology to estimate TSV film thickness using a novel inline “adaptive pattern registration” method
机译:
使用新型在线“自适应模式配准”方法估算TSV膜厚度的方法
作者:
Manikonda Shravanthi L.
;
Dingyou Zhang
;
Giridharan Rudy R.
;
Bello Abner
;
Jun Song
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Cu plating;
TSV;
film thickness;
metrology;
pattern registration;
trench;
50.
Modeling and dispatching refinement for implantation to reduce the probability of tuning beam
机译:
植入的建模和调度优化,以减少调整波束的可能性
作者:
Kai-Ting Yang
;
Leo Ke
;
Shen Tina
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
implantation;
qtime;
same recipe rate;
tool productivity;
51.
Modelling of the electrochemical etch stop with high reverse bias across pn-junctions
机译:
跨pn结具有高反向偏置的电化学蚀刻停止层的建模
作者:
Szwarc Robert
;
Frey Lothar
;
Weber Hans
;
Moder Iris
;
Erlbacher Tobias
;
Rommel Mathias
;
Bauer Anton J.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
52.
Monitoring process-induced focus errors using high-resolution flatness metrology
机译:
使用高分辨率平坦度测量监控过程引起的聚焦误差
作者:
Morgenfeld Bradley J.
;
Brunner Timothy /A/.
;
Nummy Karen
;
Stoll Derek
;
Nan Jing
;
Hong Lin
;
Vukkadala Pradeep
;
Herrera Pedro
;
Ramkhalawon Roshita
;
Sinha Jaydeep
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
CMP;
flatness;
focus error;
interferometry;
optical lithography;
53.
Multiple epitaxial Si film deposition by APCVD for power semiconductors
机译:
通过APCVD进行功率半导体的多次外延硅膜沉积
作者:
Kunle Matthias
;
Baumgartl Johannes
;
Koren Katrin
;
Fiedler Olaf
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
chemical vapor deposition;
crystal defects;
power semiconductors;
silicon epitaxy;
slip lines;
uniformity;
54.
Nanoparticle reduction in Cu CMP for 20nm node and beyond
机译:
Cu CMP的纳米颗粒减少至20nm及更高
作者:
Jeanjean Damien
;
Robin Olivier
;
Sramek Rurh
;
Mermoz Sebastien
;
Ducotey Gregoire
;
Gaillard Sebastien
;
Yufei Chen
;
Pitard Frederic
;
Nicoud Laurent
;
Brown Brian
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
CMP Process;
Chemical Mechanical Cleaning;
Copper Cleaning;
Defect Control;
Nanoparticle Detection;
55.
Novel method for detecting bitline contact misalignment using quantitative analysis of high-aspect ratio SEM images
机译:
高长宽比SEM图像定量分析的检测位线接触失准的新方法
作者:
Hong Kia Ang
;
Kok Hui Lim
;
Qin Deng
;
Kian Boon Tan
;
Wi Hoong Lim
;
Zhang Jessica
;
Porat Ronnie
;
Kia Kearn Chng
;
Seng Kee Wee
;
Khor Wui Cheng
;
Gichon Guy
;
Mizrahi Roy
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
CD;
E-beam review;
HAR;
misalignment;
56.
On-product performance improvement via advanced litho-cluster control using integrated metrology and multi-layer overlay target
机译:
通过使用集成计量和多层覆盖物靶标的高级光刻群集控制,可提高产品性能
作者:
Bhattacharyya Kaustuve
;
Maassen Martijn
;
Schmitt-Weaver Emil
;
Tijssen Robin
;
Chen Jackie
;
Gin Hung
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
APC;
Integrated metrology;
control;
multi-layer target;
overlay;
57.
Optical properties determination of Fully Depleted Silicon On Insulator (FDSOI) substrates by ellipsometry
机译:
椭偏光度法测定完全耗尽绝缘体上硅(FDSOI)基板的光学性能
作者:
Schneider L.
;
Abbate F.
;
Le Cunff D.
;
Nolot E.
;
Michallet A.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
FDSOI;
Silicon;
Spectroscopic Ellipsometry;
58.
Optical step height and trench depth measurement
机译:
光学台阶高度和沟槽深度测量
作者:
Heider Franz
;
Janisch Georg
;
Kern Michael
;
Weinzierl Kurt
;
Troger Bastian
;
Meyer Matthias
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
59.
Optimized salicide clean to reduce post fill defectivity
机译:
优化的自洁硅化物以减少填充后缺陷
作者:
Singh SherJang
;
Muralidhar Pranesh
;
Jayaseelan Sabarinath
;
Yue Hu
;
Scott Silas
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Bevel particles;
CMP defects;
Contact Cleans;
Salicidization;
Wafer Edge particles;
Wet Cleans;
60.
Particle free handling of substrates
机译:
基材的无颗粒处理
作者:
Samadi Hassan
;
Pfeffer Markus
;
Altmann Roswitha
;
Leibold Andreas
;
Gumprecht Thomas
;
Bauer Anton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Bernoulli;
carbon fiber;
clean handling;
metallic contamination;
organic contamination;
vacuum end-effector;
61.
Post TSV etch cleaning process development using SAPS megasonic technology
机译:
使用SAPS megasonic技术开发TSV后蚀刻清洗工艺
作者:
Fuping Chen
;
Xiaoyan Zhang
;
Xi Wang
;
Xuecheng Tao
;
Shu Yang
;
Wang David H.
;
Vartanian Victor
;
Sapp Brian
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
62.
Precision wafer bonding process for future cost-effective 3DICs
机译:
精确的晶圆键合工艺可实现未来具有成本效益的3DIC
作者:
Sugaya Isao
;
Mitsuishi Hajime
;
Maeda Hidehiro
;
Tsuto Takashi
;
Nakahira Hosei
;
Okada Masashi
;
Okamoto Kazuya
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
3D/TSV/ Interposer;
Through Silicon Via and Packaging;
63.
Predictive data analytics and machine learning enabling metrology and process control for advanced node IC fabrication
机译:
预测性数据分析和机器学习可实现高级节点IC制造的计量和过程控制
作者:
Rana Narender
;
Yunlin Zhang
;
Wall Donald
;
Dirahoui Bachir
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Critical Dimension Atomic Force Microscopy (CD-AFM);
Critical Dimension Scanning Electron Microscopy (CD-SEM);
Deep Trench Capacitance and Metal Line Resistance;
Electrical CD (ECD);
Hybrid Metrology (HM);
Machine Learning (ML);
Model Based Infrared Reflectometry (MBIR);
Neural Network (NN);
Optical Critical Dimension Metrology (OCD);
PM: Predictive Metrology (PM);
Partial Least Square regression (PLS);
Principal Components Analysis (PCA);
64.
Predictive Maintenance in semiconductor manufacturing
机译:
半导体制造中的预测性维护
作者:
Iskandar Jimmy
;
Moyne James
;
Subrahmanyam Kommisetti
;
Hawkins Parris
;
Armacost Mike
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Equipment Health Monitoring;
Mean-Time-Between-Interrupts;
Mean-Time-To-Repair;
Predictive Maintenance;
Prognostics and Health Management;
unscheduled downtime;
65.
Printability study of reticle defects on wafer using Reticle Defect Review on E-beam review tools
机译:
使用电子束检查工具上的掩模版缺陷检查,研究晶圆上掩模版缺陷的可印刷性
作者:
ChanSeob Cho
;
Taylor Ron
;
Mungmode Ashish
;
Dongsheng Fan
;
Spivak Dmitry
;
Camp Janay
;
Hong Xiao
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
R2W;
defect printability;
defect review;
electron beam review;
mask;
reticle;
66.
Process induced Wafer Geometry impact on center and edge lithography performance for sub 2X nm nodes
机译:
工艺诱导的晶圆几何形状对2X nm以下节点的中心和边缘光刻性能的影响
作者:
Tran Stephen
;
Wei Yeeng Ng
;
Johnson Michael
;
Kewley Dave
;
Subramony Venky
;
Veeraraghavan Sathish
;
Chang Michael
;
Sinha Jaydeep K.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Wafer Topology;
edge die yield;
yield improvement;
67.
Production control in semiconductor manufacturing with time constraints
机译:
有时间限制的半导体制造中的生产控制
作者:
Sadeghi Rezvan
;
Dauzere-Peres Stephane
;
Yugma Claude
;
Lepelletier Guillaume
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
68.
Productivity challenges in PVD processing in 300mm pilot lines for power semiconductors
机译:
功率半导体300mm引产线PVD处理中的生产率挑战
作者:
Rastogi A.
;
Morin N.
;
Jones C.
;
Burgess S.
;
Trowell R.
;
Widdicks C.
;
Moncrieff I.
;
Ehmann M.
;
Schmidbauer S.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
300 mm thin wafers;
Backside metallization;
Frontside metallization;
Power Semiconductors;
wafer bow;
69.
Quick process troubleshooting by using advanced SEM ADC system
机译:
使用高级SEM ADC系统快速进行过程故障排除
作者:
Hsieh C.Y.
;
Yang C.C.
;
Gao S.C.
;
Chen Joe
;
Chen Wallas
;
Chen Henry
;
Cheng Alex
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
EDX;
RT-ADC;
SEM review;
bare wafer review;
70.
Rapid non-destructive detection of sub-surface Cu in silicon-on-insulator wafers by optical second harmonic generation
机译:
光学二次谐波的快速无损检测绝缘体上硅晶片中的表面铜
作者:
Koldyaev V.
;
Kryger M.C.
;
Changala J.P.
;
Alles M.L.
;
Fleetwood D.M.
;
Schrimpf R.D.
;
Tolk N.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
AM: Advanced Metrology;
DI: Defect Inspection and Reduction;
71.
Remote plasma processing for reduction of CuOx before damascene electroplating
机译:
在镶嵌电镀之前进行远程等离子体处理以还原CuOx
作者:
Spurlin Tighe /A/.
;
Reid Jonathan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
copper interconnects;
damascene;
electroplating;
oxide reduction;
semiconductor;
72.
Trace analysis of hydrogen peroxide contamination
机译:
痕量分析过氧化氢
作者:
Lydon Megan E.
;
Ritter Jason P.
;
Comeau Joseph K.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
analytical methods;
hydrogen peroxide;
semiconductor grade materials;
trace contamination;
73.
Track process monitoring via laser scattering imaging
机译:
通过激光散射成像跟踪过程监控
作者:
Meli Luciana
;
Kwong Ranee
;
Murray Cody J.
;
Petrillo Karen E.
;
Hubbard Alex R.
;
Dhagat Parul
;
Macnish Shawn
;
Palamadai Chandar
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
74.
Segmentation of the expected duration of maintenance activities in semiconductor fabs
机译:
细分半导体工厂的预期维护活动时间
作者:
Regev Itai
;
Benson-Karhi Diamanta
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Availability;
DT;
PM;
Productivity;
Segmentation;
75.
Selective isotropic wet etching of TiN and TaN for high k metal gate structure
机译:
用于高k金属栅极结构的TiN和TaN的选择性各向同性湿法刻蚀
作者:
Bhattacharyya Dhiman
;
Kuchibhatla Sridhar
;
Sehgal Akshey
;
Yan Ping Shen
;
Haiting Wang
;
Prasad Jagdish
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
HKMG;
TaN;
TiN;
selectivity;
wet etching;
76.
Semiconductor equipment assessment - An enabler for production ready equipment
机译:
半导体设备评估-生产就绪设备的推动者
作者:
Pfeffer M.
;
Pfitzner L.
;
Bauer A.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
77.
Semiconductor green fabrication innovative energy efficient design and operational optimization of chilled water system
机译:
半导体绿色制造创新的节能设计和冷冻水系统的运行优化
作者:
Shyu J.S.
;
Zhu L.K.
;
Anandani Tarun
;
Wang Y.J.
;
Fung H.W.
;
Chang C.N.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
78.
Shortening of cycle time in semiconductor manufacturing via meaningful lot sizes
机译:
通过有意义的批量缩短半导体制造中的周期时间
作者:
Eberts Dietrich
;
Keil Sophia
;
Peipp Frederik
;
Lasch Rainer
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
cost efficiency;
cycle time reduction;
meaningful lot size;
prime customers;
raw tool time;
throughput;
time to market;
tool characteristics;
79.
Spatial risk assessment on circular domains: Application to wafer profile monitoring
机译:
循环域的空间风险评估:在晶片轮廓监测中的应用
作者:
Padonou Esperan
;
Roustant Olivier
;
Blue Jakey
;
Duverneuil Hugues
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
80.
Standby leakage current reduction in a 180nm EEPROM process technology
机译:
180nm EEPROM处理技术中的待机漏电流降低
作者:
Menon Santosh
;
Agam Moshe
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
EEPROM;
Isb;
Nitride protect;
Si defects;
Yield Enhancement;
graded implant;
implant damage;
standby current;
81.
Validation of High Efficiency ICP Source performance for advanced resist ashing
机译:
验证用于高级抗蚀剂灰化的高效ICP源性能
作者:
Nagorny Vladimir
;
Vaniapura Vijay
;
Surla Vijay
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Ashing;
ICP;
Inductively coupled plasma;
Photoresist Strip;
Plasma Source;
82.
The merits of high landing energy for E-beam inspection
机译:
电子束检查具有高着陆能量的优点
作者:
Patterson Oliver D.
;
Hafer Richard
;
Xiaohu Tang
;
Lei Shuen-Cheng Chris
会议名称:
《》
|
2015年
83.
Through silicon via process characterization by integrated inspection/metrology solutions in visible and infrared domain
机译:
通过可见光和红外领域的集成检测/计量学解决方案,通过硅通孔工艺表征
作者:
Devanciard Nicolas
;
Rey Stephane
;
Magis Thomas
;
Minoret Stephane
;
Beitia Carlos
;
Alliata Dario
;
Marx David
;
Bachiraju Prasad
;
Hart Darcy
;
Thornell John
;
Dudley Russ
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
84.
Use of simulation studies to overcome key challenges in the fab automation of a 300 mm power semiconductor pilot line comprising thin-wafer processing
机译:
利用仿真研究来克服300毫米功率半导体中试线(包括薄晶圆处理)的工厂自动化中的关键挑战
作者:
Schneider Germar
;
Wagner Thomas
;
Kraft Martin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
85.
Using a visible BI to construct lean manufacturing within big data
机译:
使用可见的BI在大数据中构建精益制造
作者:
Chiu S.H.
;
Lin H.K.
;
Jung Pin Hsu
;
Che Yu Chiu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
86.
Using fractal dimensions as performance indicators for manufacturing systems
机译:
使用分形维数作为制造系统的性能指标
作者:
Grau Gero
;
Pabst Detlef
;
Stehli Marcel
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
87.
Using the low frequency component of the background signal for SiGe and Ge growth monitoring
机译:
使用背景信号的低频分量进行SiGe和Ge生长监测
作者:
Halder Sandip
;
Schulze Andreas
;
Leray Philippe
;
Caymax Matty
;
Bast Gerhard
;
Simpson Gavin
;
Ulea Neli
;
Polli Marco
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
88.
Vertical natural capacitor time dependent dielectric breakdown (TDDB) improvement in 28nm
机译:
垂直自然电容器的时变介电击穿(TDDB)改善了28nm
作者:
Silvestre Mary Claire
;
Wenyi Zhang Galor
;
Selvam Km Mahalingam Anbu
;
Ramanathan Eswar
;
Ordonio Christopher
;
Schaller John
;
Lee Jong Hyup
;
Capasso Cristiano
;
Justison Patrick
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Cu CMP;
TDDB;
UV Cure;
VNCAP;
Vramp;
89.
Wafer topology effect on the etching saturation behaviors in NF
3
/NH
3
remote plasmas
机译:
晶圆拓扑对NF
3 inf> / NH
3 inf>远程等离子体中刻蚀饱和行为的影响
作者:
Kuo-Feng Lo
;
Fang-Hao Hsu
;
Xin-Guan Lin
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
NF;
/NH;
remote plasmas;
high selective etch;
intralevel dielectric etch back;
90.
Yield enhancement and mitigating the Si-chipping and wafer cracking in ultra-thin 20µm-thick 8- and 12-inch LSI wafer
机译:
提高良率并减轻20μm超薄8英寸和12英寸LSI晶片中的硅片破裂和晶片开裂
作者:
Murugesan M.
;
Fukushima T.
;
Bea J.C.
;
Lee K.W.
;
Koyanagi M.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Si chipping;
Wafer thinning;
wafer cracking;
91.
Yield improvement in 2x node technology by introducing backside cleaning
机译:
通过引入背面清洁提高2x节点技术的产量
作者:
Garg Niti
;
Rajagopalan Balajee
;
Scott Silas
;
Hoech Raita
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Backside;
Blocked Plating;
Capacity;
Clean;
Defectivity;
Flakes;
Improvement;
Line Voids;
Missing Pattern;
Yield;
92.
Yield improvement in dense EEPROM by bit mapping and experimental design
机译:
通过位图和实验设计提高密集型EEPROM的产量
作者:
Agam Moshe
;
Menon Santosh
;
Cosmin Peter
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2015年
关键词:
Bit mapping;
EEPROM;
SRAM;
memory array;
poly doping;
poly resistors;
salicide;
salicide breaks;
word line;
意见反馈
回到顶部
回到首页