掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Advances in resist materials and processing technology XXVI
Advances in resist materials and processing technology XXVI
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
数据采集与处理
卫星电视与宽带多媒体
中国信息界-e制造
军事通信技术
变频器世界
集成电路通讯
通信对抗
中国电信业
火控雷达技术
世界电子元器件
更多>>
相关外文期刊
國際通信の研究
Mobile networks & applications
IEEE Transactions on Semiconductor Manufacturing
International Journal of Wireless Networks and Broadband Technologies
Radio Telemetry and Remote Control, Transactions of the IRE Professional Group on
The radio science bulletin
NEC Research & Development
Public Network Europe
FITCE Forum
Exchange
更多>>
相关中文会议
第十一届北京科技交流学术月——电子产品节能、环保与安全技术国际研讨会
2013湖南省高校电子信息技术教学学术研讨会
北京邮电大学信息工程学院第四届学术年会
中国兵工学会2009年光学与光电技术交流会
'98全国有线电视综合信息网学术研讨会
2014全国第十届精密工程学术研讨会(PES10`14)
第八届全国电波传播学术讨论年会
2008中国高端SMT学术会议
第十二届全国电波传播学术讨论会
全国第二届导航战学术研讨会
更多>>
相关外文会议
Organic field-effect transistors VII and organic semiconductors in sensors and bioelectronics
SEMI(Semiconductor Equipment and Materials International) Packaging Seminar November 5, 1998 Taipei
20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)
Nonintrusive Inspection, Structures Monitoring, and Smart Systems for Homeland Security
8th International Colloquium on Structural Information and Communication Complexity, Jun 27-29, 2001, Catalonia, Spain
Display technologies and applications for defense, security, and avionics VII
2013 3rd International Conference on Consumer Electronics, Communications and Networks
Modeling, Simulation and Optimization for the 21st Century Electric Power Grid 2012
European Solid-State Device Research Conference;ESSDERC; 20070911-13;20070911-13; Muenchen(DE);Muenchen(DE)
International Conference on the Science and Technology of Emissive Displays and Lighting: Extended Abstracts; 20011112-14; San Diego,CA(US)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Criteria for Success in E-beam Resists
机译:
电子束抵抗的成功标准
作者:
A. E. Zweber
;
T. Komizo
;
J. Levin
;
Z. Benes
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
e-beam;
photoresist;
photomask;
CDU;
LER;
resolution;
2.
Evaluation of alcoholic hydroxyl derivatives for chemically amplified extreme ultraviolet resist
机译:
醇羟基衍生物用于化学放大极紫外光抗蚀剂的评估
作者:
Kikuo Furukawa
;
Takahiro Kozawa
;
Seiichi Tagawa
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
adamantane;
EUV;
sensitivity;
proton affinity;
alcoholic hydroxyl group;
3.
Defect reduction in non-topcoat resist by selective segregation removal step
机译:
通过选择性偏析去除步骤减少非面漆抗蚀剂的缺陷
作者:
Takuya Hagiwara
;
Mamoru Terai
;
Takeo Ishibashi
;
Tomofumi Miyauchi
;
Shinya Hori
;
Teruhiko Kumada
;
Tomoya Kumagai
;
Atsushi Sawano
;
Kosuke Doi
;
Takeshi Matsunobe
;
Naoki Man
;
Hirofumi Seki
;
Yusaku Tanahashi
;
Tetsuro Hanawa
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
SSR treatment;
immersion lithography;
non-topcoat resist;
hydrophobicity;
hydrophobic additive;
segregation layer;
blob defect;
4.
High-refractive index nanoparticle fluids for 193-nm immersion lithography
机译:
用于193 nm浸没式光刻的高折射率纳米粒子流体
作者:
Markos Trikeriotis
;
Robert Rodriguez
;
Michael F. Zettel
;
Aristeidis Bakandritsos
;
Woo Jin Bae
;
Paul Zimmerman
;
Christopher K. Ober
;
Emmanuel P. Giannelis
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
hafnium oxide;
immersion lithography;
193nm;
nanoparticles;
high refractive index;
viscosity;
5.
Development of Polymers for Non-CAR Resists for EUV Lithography
机译:
用于非汽车光刻胶的非CAR抗蚀剂聚合物的开发
作者:
Andrew K. Whittaker
;
Idriss Blakcy
;
James Blinco
;
Kevin S. Jack
;
Kirsten Lawrie
;
Heping Liu
;
Anguang Yu
;
Michael Leeson
;
Wang Yeuh
;
Todd Younkin
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
non-CAR;
non-chemically amplified resists;
EUV;
polymer architecture;
polysulfones;
polycarbonates;
star block copolymers;
6.
Engine for Characterization of Defects, Overlay and Critical Dimension Control for Double Exposure Processes for Advanced Logic Nodes
机译:
用于高级逻辑节点两次曝光过程的缺陷表征,覆盖和临界尺寸控制的引擎
作者:
Steven Holmes
;
Chiew-seng Koay
;
Karen Petrillo
;
Kuang-Jung Chen
;
Matthew E. Colburn
;
Jason Cantone
;
Kenichi Ueda
;
Andrew Metz
;
Shannon Dunn
;
Youri van Dommelen
;
Michael Crouse
;
Judy Galloway
;
Emil Schmitt-Weaver
;
Aiqin Jiang
;
Robert Routh
;
Cherry Tang
;
Mark Slezak
;
Sumant
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
pitch-split;
double patterning;
defectivity;
overlay;
7.
Evaluation of Novel Resist Materials for EUV Lithography
机译:
新型光刻胶材料的评估
作者:
Ichihiro Aratani
;
Shuji Matsunaga
;
Tsuyoshi Kajiyashiki
;
Takeo Watanabe
;
Hiroo Kinoshita
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUVL;
absorption;
sensitivity;
outgassing;
base polymers;
methacrylate;
acid-cleavable moiety;
chalcogen atom;
oxygen;
sulfur;
8.
Newly developed positive tone resists for Posi/Posi double patterning process
机译:
最新开发的正性光刻胶,用于Posi / Posi双重图案化工艺
作者:
Tsuyoshi Nakamura
;
Masaru Takeshita
;
Satoshi Maemori
;
Ryusuke Uchida
;
Ryouichi Takasu
;
Katsumi Ohmori
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double patterning;
LLE;
freezing free;
193nm immersion;
image reverse;
9.
Process Induced Bias: A Study of Resist Design, Device Node, Illumination Conditions and Process Implications
机译:
工艺引起的偏差:抗性设计,器件节点,照明条件和工艺含义的研究
作者:
Michael Carcasi
;
Steven Scheer
;
Carlos Fonseca
;
Tsuyoshi Shibata
;
Hitoshi Kosugi
;
Yoshihiro Kondo
;
Takashi Saito
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
PIB;
process induced bias;
OPC integrity;
dose compensation;
PEB compensation;
10.
Pattern transfer process development for EUVL
机译:
EUVL的模式转移流程开发
作者:
Daisuke Kawamura
;
Yuusuke Tanaka
;
Toshiro Itani
;
Eiichi Soda
;
Noriaki Oda
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV;
resist;
pattern transfer;
hard mask;
under layer;
EUV1;
test chip;
TEG;
11.
Non-Chemically Amplified Negative Resist for EUV Lithography
机译:
用于EUV光刻的非化学放大负电阻
作者:
Masamitsu Shirai
;
Koichi Maki
;
Haruyuki Okamura
;
Koji Kaneyam
;
Toshiro Itani
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV resist;
non-CA resist;
negative resist;
thiol/ene reaction;
poly(4-hydroxystyrene);
12.
Fabrication of 22-nm poly-silicon gate using resist shrink technology
机译:
使用抗蚀剂收缩技术制造22 nm多晶硅栅极
作者:
Fumiko Iwao
;
Satoru Shimura
;
Tetsu Kawasaki
;
Masato Kushibiki
;
Nishimura Eiichi
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
resist slimming process;
double patterning;
immersion lithography;
32nm node/22nm node;
13.
DUV-assisted E-beam Resist Process
机译:
DUV辅助电子束抵抗工艺
作者:
Wei-Su Chen
;
Yen-Cheng Li
;
Ming-Jinn Tsai
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
contact hole;
e-beam lithography;
DUV-assisted exposure;
sidewall profile;
ESCAP;
14.
Development of EUV resists at Selete
机译:
在Selete开发EUV抗蚀剂
作者:
Hiroaki Oizumi
;
Daisuke Kawamura
;
Koji Kaneyama
;
Shinji Kobayashi
;
Toshiro Itani
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV lithography (EUVL);
EUV resists;
sensitivity;
resolution;
line-width-roughness (LWR);
15.
Underlayer designs to enhance the performance of EUV resists
机译:
底层设计可增强EUV抗蚀剂的性能
作者:
Hao Xu
;
James M. Blackwell
;
Todd R. Younkin
;
Ke Min
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV;
underlayer;
lithography;
photospeed;
resolution;
LWR;
RLS tradeoff;
photoacid generator;
PAG;
16.
Resolution and LWR improvements by acid diffusion control in EUV lithography
机译:
通过EUV光刻中的酸扩散控制来提高分辨率和LWR
作者:
Hideaki Tsubaki
;
Tooru Tsuchihashi
;
Tomotaka Tsuchimura
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV lithography;
chemically amplified resist;
resolution;
LWR;
photoacid generator;
17.
Photoresist Stabilization for Double Patterning Using 172 nm Photoresist Curing
机译:
使用172 nm光致抗蚀剂进行双图案化的光致抗蚀剂稳定化
作者:
Thomas I. Wallow
;
Junyan Dai
;
Charles R. Szmanda
;
Hiram Cervera
;
Chi Truong
;
Nikolaos Bekiaris
;
Jong-Wook Kye
;
Ryoung-Han Kim
;
Harry J. Levinson
;
Glen Mori
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double patterning;
photoresist;
photoresist cure;
photoresist stabilization;
pattern freezing;
172 nm;
18.
Analysis of the Effect of Point-of-Use Filtration on Microbridging Defectivity
机译:
使用点过滤对微桥缺陷的影响分析
作者:
J. Braggin
;
R. Gronheid
;
S. Cheng
;
D. Van Den Heuvel
;
S. Bernard
;
P. Foubert
;
C. Rosslee
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
advanced filtration;
immersion lithography defectivity;
microbridging;
19.
Development of novel UV cross-linkable materials for enhancing planarity in via applications via the correlation of simulated and experimental analyses
机译:
开发新型UV可交联材料以通过模拟和实验分析的关联来增强通孔应用中的平面度
作者:
Satoshi Takei
;
Michael W. Lin
;
Sangwoong Yoon
;
Tomoya Ohashi
;
Yasuyuki Nakajima
;
C. Grant Willson
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
UV cross-linkable materials;
coater;
planarization;
lithography;
imprint;
20.
Comparison of Thermal Flow and Chemical Shrink Processes for 193 nm Contact Hole Patterning
机译:
193 nm接触孔图案化的热流和化学收缩工艺的比较
作者:
Takanori Kudo
;
Charito Antonio
;
John Sagan
;
Srinivasan Chakrapani
;
Deepa Parthasarathy
;
Sungeun Hong
;
Muthiah Thiyagarajan
;
Yi Cao
;
Munirathna Padmanaban
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
193nm;
lithography;
contact hole;
thermal flow;
chemical shrink;
21.
Correlation of Experimental and Simulated Cure-Induced Photoresist Distortions in Double Patterning
机译:
双图案化中实验和模拟固化引起的光致抗蚀剂畸变的相关性
作者:
Thomas I. Wallow
;
Mahidhar Rayasam
;
Masanori Yamaguchi
;
Yohei Yamada
;
Karen Petrillo
;
Kenji Yoshimoto
;
Jongwook Kye
;
Ryoung-han Kim
;
Harry J. Levinson
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
photoresist;
distortion;
shrinkage;
curing;
double patterning;
simulation;
metrology;
172 nm;
22.
Fine Trench Patterns with Double Patterning and Trench shrink Technology
机译:
具有双重图案和沟槽收缩技术的精细沟槽图案
作者:
Satoru Shimura
;
Masato Kushibiki
;
Tetsu Kawasaki
;
Ryo Tanaka
;
Akira Tokui
;
Yuki Ishii
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
32nm node;
22nm node;
193nm immersion lithography;
double patterning;
litho-etch-litho-etch (LELE);
overlay;
23.
Double Patterning Process with Freezing Technique
机译:
冻结技术的双重图案化工艺
作者:
Goji Wakamatsu
;
Yusuke Anno
;
Masafumi Hori
;
Tomohiro Kakizawa
;
Michihiro Mita
;
Kenji Hoshiko
;
Takeo Shioya
;
Koichi Fujiwara
;
Shiro Kusumoto
;
Yoshikazu Yamaguchi
;
Tsutomu Shimokawa
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
lithography;
double patterning;
freezing;
sub-30nm half-pitch LS;
44nm half pitch CH;
24.
Study of the simulation parameter for EUVL
机译:
EUVL仿真参数的研究
作者:
Atushi Sekiguchi
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
25.
Process latitude simulation of positive-tone litho-litho-etch double patterning
机译:
正性光刻-蚀刻双图案工艺纬度模拟
作者:
Wallace P. Printz
;
Steven A. Scheer
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
LLE;
litho-litho-etch;
double patterning;
lithography simulation;
solubility inhibition;
26.
Performance of an ArF siloxane BARC exposed to a 172-nm UV cure for double patterning applications
机译:
ArF硅氧烷BARC暴露于172-nm UV固化下用于双重图案化应用的性能
作者:
Ze-Yu Wu
;
Joseph Kennedy
;
Song-Yuan Xie
;
Ron Katsanes
;
Kyle Flanigan
;
Junyan Dai
;
Nikolaos Bekiaris
;
Hiram Cervera
;
Glen Mori
;
Thomas Wallow
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double patterning;
172nm UV cure;
photoresist stabilization;
photoresist pattern freezing;
SiBARC;
UVAS;
27.
Fundamental Study of Optical Threshold Layer Approach Towards Double Exposure Lithography
机译:
面向双曝光光刻的光学阈值层方法的基础研究
作者:
Xinyu Gu
;
Adam J. Berro
;
Younjin Cho
;
Kane Jen
;
Saul Lee
;
Tomoki Nagai
;
Toshiyuki Ogata
;
William J. Durand
;
Arunkumar Sundaresan
;
Jeffrey R. Lancaster
;
Steffen Joekusch
;
Paul Zimmerman
;
Nicholas J. Turro
;
C. Grant Willson
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double exposure lithography;
optical threshold layer;
azobenzene;
side chain crystal polymer;
poly(n-alkyl methacrylate);
acid detector;
barrier layer;
diffusion switch;
non-linear response;
28.
Message to the undecided - Using DUV dBARC for 32 nm node implants
机译:
给未定的消息-将DUV dBARC用于32 nm节点注入
作者:
Hyung-Rae Lee
;
Irene Y. Popova
;
JoAnn M. Rolick
;
Juan-Manuel Gomez
;
Todd C. Bailey
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
lithography;
implant;
wet-developable BARC;
topography;
reflectivity;
OPC;
CD uniformity;
29.
CD Uniformity improvement for Double-Patterning Lithography (Litho-Litho-Etch) Using Freezing Process
机译:
使用冻结工艺改进双图案光刻(Litho-Litho-Etch)的CD均匀性
作者:
Hisanori Sugimachi
;
Hitoshi Kosugi
;
Tsuyoshi Shibata
;
Junichi Kitano
;
Koichi Fujiwara
;
Kouji Itou
;
Michihiro Mita
;
Akimasa Soyano
;
Shiro Kusumoto
;
Motoyuki Shima
;
Yoshikazu Yamaguchi
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double-patterning;
litho-litho-etch;
freezing;
30.
Utilization of Spin-on and Reactive Ion Etch Critical Dimension Shrink with Double Patterning for 32 nm and Beyond Contact Level Interconnects
机译:
旋转和反应离子刻蚀临界尺寸收缩的双重图案化,可用于32 nm及超出接触级互连的双图案
作者:
Karen Petrillo
;
Dave Horak
;
Susan Fan
;
Erin McLellan
;
Matt Colburn
;
Andrew Metz
;
Shannon Dunn
;
Dave Hetzer
;
Jason Cantone
;
Kenichi Ueda
;
Tom Winter
;
Vaidyanathan Balasubramaniam
;
Cherry Tang
;
Mark Slezak
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
contact shrink;
double patterning;
LELE;
immersion lithography;
chemical shrink;
RIE shrink;
31.
Optical Threshold Layer and Intermediate State Two-Photon PAG Approaches to Double Exposure Lithography
机译:
光学阈值层和中间态双光子PAG二次曝光光刻技术
作者:
Adam J. Berro
;
Xinyu Gu
;
Naphtali OConnor
;
Steffen Jockusch
;
Tomoki Nagai
;
Toshiyuki Ogata
;
Paul Zimmerman
;
Bryan J. Rice
;
Elizabeth Adolph
;
Travis Byargeon
;
Jose Gonzalez
;
Nicolas J. Turro
;
C. Grant Willson
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double exposure lithography;
optical threshold layer;
intermediate state two-photon PAG;
liquid crystalline polymer;
azobenzene;
32.
Post-Develop Blob Defect Reduction
机译:
显影后斑点减少
作者:
Masahiko Harumoto
;
Sei Negoro
;
Akihiro Hisai
;
Michio Tanaka
;
Glen Mori
;
Mark Slezak
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
blob defect;
develop process;
acid-rinse;
CO_2-rinse;
33.
Dissolution Kinetics and Deprotection Reaction in Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation
机译:
暴露于极紫外辐射下的化学放大的抗蚀剂中的溶解动力学和脱保护反应
作者:
Hiroki Yamamoto
;
Takahiro Kozawa
;
Seiichi Tagawa
;
Takeyoshi Mimura
;
Takeshi Iwai
;
Junichi Onodera
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
chemically amplified resist;
dissolution kinetics;
deprotection reaction;
EUV lithography;
34.
Defectivity Issues in Topcoat-Free Photoresists
机译:
无面漆的光刻胶中的缺陷问题
作者:
Karen Petrillo
;
Rick Johnson
;
Will Conley
;
Jason Cantone
;
Dave Hetzer
;
Shannon Dunn
;
Tom Winter
;
Youri van Dommelen
;
Aiqin Jiang
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
topcoat-less photoresist;
immersion lithography;
ArF;
defectivity;
contact angle;
35.
Double-Exposure Materials for Pitch Division with 193nm Lithography: Requirements, Results
机译:
用于193nm光刻的间距分割的双曝光材料:要求,结果
作者:
Robert Bristol
;
David Shykind
;
Sungwon Kim
;
Yan Borodovsky
;
Evan Schwartz
;
Courtney Turner
;
Georgeta Masson
;
Ke Min
;
Katherine Esswein
;
James M. Blackwell
;
Nikolay Suetin
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double exposure;
non-reciprocal;
two-stage PAG;
rCEL;
LLE;
36.
Defectivity Process Optimization on Immersion Topcoat less Resist Stacks
机译:
沉浸式无涂层抗蚀剂堆叠的缺陷工艺优化
作者:
Kazuhito Shigemori
;
Suping Wang
;
Len Tedeschi
;
Gazi Tanriseve
;
Raymond Maas
;
Coen Verspaget
;
Ruud Marechal
;
Ad Lammers
;
Joerg Mallmann
;
Masahiko Harumoto
;
Akihiro Hisai
;
Masaya Asai
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
38nm L/S;
imaging;
defectivity;
pattern collapse;
hydrophobic residuals;
37.
Topcoat-free ArF Negative Tone Resist
机译:
无面漆的ArF负色调抗性
作者:
Tomoyuki Ando
;
Sho Abe
;
Ryoichi Takasu
;
Jun Iwashita
;
Shogo Matsumaru
;
Ryoji Watababe
;
Komei Hirahara
;
Yujiro Suzuki
;
Miki Tsukano
;
Takeshi Iwai
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
ArF lithography;
ArF immersion lithography;
ArF negative tone resist;
topcoat free ArF negative tone resist;
litho-etch-litho-etch double patterning;
double exposure;
double dipole lithography;
38.
Backside EBR Process Performance with Various Wafer Properties
机译:
具有各种晶圆特性的背面EBR工艺性能
作者:
Tomohiro Goto
;
Kazuhito Shigemori
;
Rik Vangheluwe
;
Daub Erich
;
Masakazu Sanada
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
bevel cleaning;
immersion defect;
EBR;
bevel rinse;
39.
Controlling Etch Properties of Silicon-Based Anti-Reflective Spin-On Hardmask Materials
机译:
硅基抗反射旋涂硬掩模材料的蚀刻性能控制
作者:
Sang Kyun Kim
;
Hyeon Mo Cho
;
Changsoo Woo
;
Sang Ran Koh
;
Mi-young Kim
;
Hui Chan Yoon
;
Woojin Lee
;
Seung-Wook Shin
;
Jong Seob Kim
;
Tuwon Chang
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
spin-on hardmask;
etch properties;
silicon;
tri-layer resist process (TLR);
40.
Organic underlayer materials with exceptionally high thermal stability
机译:
具有极高热稳定性的有机底层材料
作者:
Hwan-Sung Cheon
;
Kyong-Ho Yoon
;
Min-Soo Kim
;
Seung Bae Oh
;
Jee Yun Song
;
Nataliya Tokareva
;
Jong Seob Kim
;
Tuwon Chang
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
multilayer hardmask (MLHM);
carbon underlayer;
thermal stability;
etch resistance;
spacer patterning technology;
41.
Effects of carbon/hardmask interactions on hardmask performance
机译:
碳/硬掩模相互作用对硬掩模性能的影响
作者:
Charles J. Neef
;
Brian Smith
;
Chris James
;
Zhimin Zhu
;
Michael Weigand
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
trilayer;
hardmask;
carbon layer;
interactions;
42.
Improving the performance of light-sensitive developer-soluble anti-reflective coatings by using adamantyl terpolymers
机译:
通过使用金刚烷基三元共聚物提高光敏性可溶于显影剂的增透膜的性能
作者:
Jim D. Meador
;
Joyce A. Lowes
;
Charlyn Stroud
;
Sherilyn Thomas
;
Yilin Qiu
;
Ramil-Marcelo L. Mercado
;
Victor Pham
;
Mark Slezak
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
anti-reflective;
BARC;
light-sensitive;
developer-soluble;
adamantyl;
193-nm microlithography;
43.
Microbridge and e-test opens defectivity reduction via improved filtration of photolithography fluids
机译:
Microbridge和e-test通过改进光刻液的过滤来降低缺陷率
作者:
Michael Mesawich
;
Michael Sevegney
;
Barry Gotlinsky
;
Santos Reyes
;
Patrick Abbott
;
Jeremy Marzani
;
Mario A. Rivera
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
filtration;
nylon 6,6;
PTFE;
microbridge defects;
bi-layer resist;
ozonated UPW;
44.
Characterization of film cut position at wafer bevel for effective immersion lithography process
机译:
表征晶片斜角处的薄膜切割位置以实现有效的浸没式光刻工艺
作者:
Kazuyuki Matsumaro
;
Miyoshi Seki
;
Takeshi Kato
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
bevel;
rinse;
resist;
BARC;
topcoat;
topcoat-less;
45.
High index nanocomposite photoresist for 193 nm lithography
机译:
用于193 nm光刻的高折射率纳米复合光致抗蚀剂
作者:
Woo Jin Bae
;
Markos Trikeriotis
;
Robert Rodriguez
;
Michael F. Zettel
;
Emil Piscani
;
Christopher K. Ober
;
Emmanuel P. Giannelis
;
Paul Zimmerman
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
immersion lithography;
hafnia (HfO_2) nanoparticle;
photoresist;
high refractive index;
193 nm lithography;
46.
Materials for single-etch double patterning process: Surface Curing Agent and Thermal Cure Resist
机译:
用于单蚀刻双图案化工艺的材料:表面固化剂和抗热固化剂
作者:
Young C. Bae
;
Yi Liu
;
Thomas Cardolaccia
;
John C. McDermott
;
Peter Trefonas
;
Ken Spizuoco
;
Michael Reilly
;
Amandine Pikon
;
Lori Joesten
;
Gary G. Zhang
;
George G. Barclay
;
Julia Simon
;
Stephanie Gaugiran
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
double patterning;
resist stabilization;
resist freezing;
resist hardening;
resist curing;
surface curing agent;
thermal cure resist;
47.
Evaluation of track performance for EUV lithography
机译:
评估EUV光刻的轨道性能
作者:
Keiichi Tanaka
;
Junji Nakamura
;
Yoshiaki Yamada
;
Shinji Kobayashi
;
Toshiro Itani
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV resist;
polymer molecular weight;
coating;
surface localization;
48.
CD and Defect Improvement Challenges for Immersion Processes
机译:
浸入过程的CD和缺陷改善挑战
作者:
Keisuke Ehara
;
Tatsuhiko Ema
;
Toshinari Yamasaki
;
Seiji Nakagawa
;
Seiji Ishitani
;
Akihiko Morita
;
Jeonghun Kim
;
Masashi Kanaoka
;
Shuichi Yasuda
;
Masaya Asai
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
defectivity;
critical dimension (CD) control;
backside clean;
bevel clean;
hot spots;
PEB tuning;
CD optimization;
immersion lithography;
rinse;
soak;
49.
Pressure control for reduced microbubble formation
机译:
压力控制可减少微气泡的形成
作者:
Jennifer Braggin
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
microbubble reduction;
TARC;
point-of-use filtration;
dispense system;
50.
Productivity improvement in the wafer backside cleaning before exposure
机译:
曝光前晶片背面清洁的生产率提高
作者:
S.Nishikido
;
T.Kitano
;
Y.Tokunaga
;
Marlene Strobl
;
Yu Chen Lin
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
BST;
removal-ratio;
topside particles;
defocus spots;
front side's defects;
51.
Novel resist for replica preparation of mold for imprint lithography
机译:
用于复制平版印刷模具的新型抗蚀剂
作者:
Daisaku Matsukawa
;
Hiroyuki Wakayama
;
Kazuyuki Mitsukura
;
Haruyuki Okamura
;
Yoshihiko Hirai
;
Masamitsu Shirai
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
UV imprint;
replica preparation;
reworkable resin;
hemiacetal ester;
methacrylate;
52.
Sensitivity of EUV resists to out-of-band radiation
机译:
EUV的灵敏度可抵抗带外辐射
作者:
Jeanette M. Roberts
;
Robert L. Bristol
;
Todd R. Younkin
;
Theodore H. Fedynyshyn
;
David K. Astolfi
;
Alberto Cabral
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
extreme ultraviolet lithography;
EUVL;
resist;
sensitivity;
out-of-band;
spectral purity;
53.
0.30k1 CH delineation with Novel Image Reversal Materials
机译:
0.30k1 CH轮廓与新型图像反转材料
作者:
J. Hatakeyama
;
K.Katayama
;
T. Yoshihara
;
Y.Kawai
;
T. Ishihara
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
image reversal;
double dipole;
solubility switch;
hole size shrink;
54.
KrF Resists and Process for Implant Layers at Advanced Nodes
机译:
KrF抵抗和高级节点上植入层的处理
作者:
Hung-Chin Huang
;
Yong-Fa Huang
;
Steven Wu
;
Louis Jang
;
Sho Shen Lee
;
George KC Huang
;
Howard Chen
;
Chun Chi Yu
;
Tomoki Kurihara
;
Hitoshi Fukiya
;
Hiromu Yoshida
;
Yoshihiro Yamamoto
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
implant;
KrF resist;
lithography;
topography;
footing;
55.
Properties of the novel de-protecting unit for next generation ArF resist polymer
机译:
用于下一代ArF抗蚀剂聚合物的新型脱保护单元的性能
作者:
Akinori Shibuya
;
Shuhei Yamaguchi
;
Yuko Yoshida
;
Michihiro Shirakawa
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
chemically amplified resist;
ArF resist polymer;
acid diffusion;
exposure latitude;
56.
Chemically amplified hybrid resist platform for i-line applications
机译:
用于i-line应用的化学放大混合抗蚀剂平台
作者:
Medhat Toukhy
;
Margarcta Paunescu
;
Zachary Bogusz
;
Georg Pawlowski
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
acetal;
novolak;
polyhydroxystyrene;
polymer blends;
polymer compatibility;
electroplating;
57.
Non-Ionic PAG Behavior Under High Energy Exposure Sources
机译:
高能量暴露源下的非离子PAG行为
作者:
Richard A. Lawson
;
David E. Noga
;
Laren M. Tolbert
;
Clifford L. Henderson
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
chemically amplified photoresist;
photoacid generator;
non-ionic PAGs;
ionic PAGs;
electron affinity;
dill C parameter;
photoacid generation efficiency;
ellipsometry;
acid sensitive dyes;
58.
EUV resist outgassing quantification and application
机译:
EUV抗除气量化和应用
作者:
Shinji Kobayashi
;
Julius Joseph Santillan
;
Hiroaki Oizumi
;
Toshiro Itani
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
EUV lithography;
resist outgassing;
pressure rise;
GC-MS;
59.
EUV resist processing in vacuum
机译:
真空中的EUV抗蚀剂处理
作者:
Koji Kaneyama
;
Shinji Kobayashi
;
Toshiro Itani
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
extreme ultraviolet lithography;
resist process;
bake;
vacuum;
60.
EUV Lithography for 30nm Half Pitch and Beyond: Exploring Resolution, Sensitivity and LWR Tradeoffs
机译:
30纳米半节距及更高的EUV光刻技术:探索分辨率,灵敏度和LWR折衷
作者:
E. Steve Putna
;
Todd R. Younkin
;
Manish Chandhok
;
Kent Frasure
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
extreme ultraviolet lithography;
EUV;
EUVL;
photoresist;
32nm HP;
manufacturability;
extendibility;
micro-exposure tool;
MET;
61.
Improved CD Uniformity for Chemical Shrink Patterning
机译:
改进的CD均匀性,用于化学收缩图案
作者:
Lu Chen
;
Nikolaos Bekiaris
;
Timothy Michaelson
;
Glen Mori
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
SAFIER;
chemical shrink;
CDU;
zone bake;
CD tuning;
temperature biasing;
PEB;
62.
Non-topcoat process development for ArF immersion lithography
机译:
ArF浸没光刻的非面漆工艺开发
作者:
Takehiko Naruoka
;
Nobuji Matsumura
;
Akimasa Soyano
;
Shiro Kusumoto
;
Yoshikazu Yamaguchi
;
Hiroshi Arima
;
Yuichi Yoshida
;
Kousuke Yoshihara
;
Tsuyoshi Shibata
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
immersion lithography;
non top coat process;
water repellent property;
advanced defect reduction (ADR);
63.
Embedded Micro / Nano Channels Formation for Three-Dimensional Negative-Tone Photoresist Microstructuring
机译:
嵌入式负/微通道形成的三维负色调光刻胶微结构化
作者:
Sang-Kon Kim
;
Hye-Keun Oh
;
Young-Dae Jung
;
Ilsin An
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
lithography;
lithography simulation;
negative resist;
chemically amplified resist;
multi-exposures;
inverse lithography;
embedded channel;
MEMS;
64.
Development of materials and processes for negative tone development toward 32-nm node 193-nm immersion double-patterning process
机译:
朝32纳米节点193纳米沉浸式双图案工艺发展负色调的材料和工艺开发
作者:
Shinji Tarutani
;
Tsubaki Hideaki
;
Sou Kamimura
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
negative tone imaging;
193 nm immersion lithography;
double patterning;
fine trench imaging;
CD uniformity;
defectivity;
65.
Contact Formation with Extremely Low Proximity Effect by Double Patterning Technology
机译:
通过双图案技术形成具有极低邻近效应的触点
作者:
C. W. Yeh
;
S.S. Yu
;
H.J. Lee
;
C. H. Huang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chih-Yuan Lu
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
NOR FLASH;
hard mask;
double patterning technology;
contact formation;
66.
Double Imaging With Resist Freezing In A Vapor Reaction Chamber
机译:
在蒸汽反应室中进行抗冻双重成像
作者:
Ralph R. Dammel
;
Yusuke Takano
;
Richard Collett
;
David J. Abdallah
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
photoresist freeze;
vapor reaction chamber;
double imaging;
67.
Radiation Sensitive Developable Bottom Anti-Reflective Coatings (DBARC): Recent Results
机译:
辐射敏感的可显影底部抗反射涂层(DBARC):最新结果
作者:
Francis M. Houlihan
;
Alberto Dioses
;
Takanori Kudo
;
Meng Li
;
Lin Zhang
;
Sumathy Vasanthan
;
Srinivasan Chakrapani
;
Deepa Parthasarathy
;
Charito Antonio
;
Edward Ng
;
Ping-Hung Lu
;
Mark Neisser
;
Munirathna Padmanaban
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
193 nm;
resist;
developable bottom antireflective coating;
DBARC;
68.
Investigation of the foot-exposure impact in hyper-NA immersion lithography when using thin anti-reflective coating
机译:
使用超减反射涂层时在超NA浸没式光刻中足部接触影响的研究
作者:
Darron Jurajda
;
Enrico Tenaglia
;
Jonathan Jeauneau
;
Danilo De Simone
;
Zhimin Zhu
;
Paolo Piazza
;
Paolo Piacentini
;
Paolo Canestrari
会议名称:
《Advances in resist materials and processing technology XXVI》
|
2009年
关键词:
immersion;
foot exposure (FE);
effective reflectivity (ER);
full diffraction model (FDM);
bottom anti-reflective coating (BARC);
意见反馈
回到顶部
回到首页