首页> 外文会议>Conference on Emerging Lithographic Technologies VI Pt.1, Mar 5-7, 2002, Santa Clara, USA >Novel Design of Att-PSM Structure for Extreme Ultra Violet Lithography and Enhancement of Image Contrast during Inspection
【24h】

Novel Design of Att-PSM Structure for Extreme Ultra Violet Lithography and Enhancement of Image Contrast during Inspection

机译:用于超紫外光刻的Att-PSM结构的新颖设计和检查过程中图像对比度的增强

获取原文
获取原文并翻译 | 示例

摘要

Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28% to 80% greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6% and phase of 180°+-10° result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements (180° phase shift and 3~15% attenuation) is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.
机译:衰减相移掩模(Att-PSM)已被积极研究和开发用于常规光学光刻,以增强光刻性能。在本文中,通过模拟将用于极端紫外光刻的Att-PSM与二元EUVL掩模进行了比较。此外,还提出了用于EUVL Att-PSM的新结构设计,该设计旨在增强检查过程中的图像对比度。使用内部开发的光学投影光刻模拟器对50和35 nm宽的接触孔进行航拍图像模拟。还使用内部开发的基于光学多层薄膜理论的模拟器对Att-PSM层的各种组合进行了相移和衰减分析。航空图像仿真的结果与以前发表的结果一致,因为与二元EUVL掩模相比,EUVL的Att-PSM提供了更陡峭的边缘轮廓和更高的峰值强度。与用于印刷触点的二元掩模相比,这些增强的航拍图像为Att-PSM提供了更大的曝光范围和28%至80%的聚焦深度。该仿真还用于为35 nm触点设置PSM堆栈的相位和反射率控制的初始目标。平均反射率在3%到6%之间,相位为180°+ -10°导致自由度比二元掩模大得多。为EUVL Att-PSM模拟的原型结构由位于Mo / Si多层镜顶部的上介电层(SiON)和下金属层(TaN或Cr)组成。这种双层方案比单层结构更容易满足光学要求(180°相移和3〜15%衰减),因为可以通过控制上下两边的厚度来精确控制相移和衰减层。使用单层获得期望的相移和透射是困难的。具有介电层(SiON)的优点是可以优化介电层的厚度,以增强检查波长(通常为DUV)下的图像对比度,以及在曝光波长(13.4-13.5nm)处提供所需的相移)。用于EUVL的Att-PSM的另一个优点是减少了多层反射镜上构图结构的高度,通过减少由较高的吸收体堆栈的阴影效应引起的图像模糊,在分辨率上提供了相对优势。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号