掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International Parallel & Distributed Processing Symposium
IEEE International Parallel & Distributed Processing Symposium
召开年:
2014
召开地:
Phoenix, AZ(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
PCO Introduction and Committees
机译:
PCO简介和委员会
作者:
El-Baz Didier
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Conferences;
Educational institutions;
Laboratories;
Linear programming;
Optimization;
Parallel processing;
Polynomials;
2.
LSPP Introduction and Committees
机译:
LSPP简介和委员会
作者:
Kerbyson Darren J.
;
Rajamony Ram
;
Weems Charles
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Conferences;
Educational institutions;
Laboratories;
Large-scale systems;
Parallel processing;
Reliability;
Synchronization;
3.
Efficient Software-Based Runtime Binary Translation for Coarse-Grained Reconfigurable Architectures
机译:
粗粒度可重构体系结构的基于软件的高效运行时二进制翻译
作者:
Mai T.X.
;
Jongeun Lee
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
program compilers;
program interpreters;
reconfigurable architectures;
CGRA accelerators;
JIT compiler;
LLVM just-in-time compiler;
RBTVM;
coarse-grained reconfigurable architectures;
runtime-compiled applications;
software-based runtime binary translation;
Binary codes;
Computer architecture;
Context;
Kernel;
Monitoring;
Program processors;
Runtime;
4.
Process Simulation of Complex Biochemical Pathways in Explicit 3D Space Enabled by Heterogeneous Computing Platform
机译:
异构计算平台支持的显式3D空间中复杂生化途径的过程模拟
作者:
Jie Li
;
Salighehdar A.
;
Ganesan N.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
biochemistry;
biology computing;
distributed processing;
field programmable gate arrays;
graphics processing units;
3D reaction process space;
FPGA;
behavioral complexity;
biological pathway modeling;
biological pathway simulation;
biological pathways;
biological system;
biomolecular systems;
chemical species;
complex biochemical pathways;
explicit 3D space;
heterogeneous computing framework;
heterogeneous computing platform;
massively parallel processor;
multiple fundamental cellular processes;
process simulation;
reconfigurable hardware;
simulation algorithms;
single GPU-only platform;
system complexity;
Biological system modeling;
Computational modeling;
Computer architecture;
Field programmable gate arrays;
Graphics processing units;
Mathematical model;
Microprocessors;
5.
A Game-Theoretic Approach to Multiobjective Job Scheduling in Cloud Computing Systems
机译:
云计算系统中多目标作业调度的博弈论方法
作者:
Gasior J.
;
Seredynski F.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Pareto optimisation;
cloud computing;
game theory;
genetic algorithms;
minimisation;
probability;
processor scheduling;
NP-hard problem;
Nash equilibrium solution;
Pareto frontier;
cloud computing infrastructures;
decision-making mechanisms;
distributed-driven solution;
execution failure probability minimization;
game-theoretic approach;
job completion time minimization;
medium and large-sized scheduling problems;
multiobjective job scheduling problem;
resource allocation;
security-driven solution;
social welfare criteria;
spatial prisoner's dilemma;
Conferences;
Distributed processing;
Cellular Automata;
Genetic algorithm;
Multiobjective optimization;
Spatial Prisoner's Dilemma;
6.
Trust-Based Security for the Spanning Tree Protocol
机译:
生成树协议的基于信任的安全性
作者:
Yingxu Lai
;
Qiuyue Pan
;
Zenghui Liu
;
Yinong Chen
;
Zhizheng Zhou
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
computer network security;
formal specification;
local area networks;
message authentication;
routing protocols;
trees (mathematics);
LAN switch;
authentication mechanism;
link layer protocol;
spanning tree protocol;
specification-based state model;
topology information;
trust-based STP;
trust-based security;
Authentication;
Bridges;
Network topology;
Protocols;
Switches;
Topology;
STP;
credible evaluation;
network security;
trusted network;
7.
HPDIC Introduction and Committees
机译:
HPDIC简介和委员会
作者:
Cerin Christophe
;
Jiang Cong-Feng
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Collaboration;
Communities;
Conferences;
Distributed databases;
Distributed processing;
Educational institutions;
Industries;
8.
A Task Scheduling Algorithm Based on Replication for Maximizing Reliability on Heterogeneous Computing Systems
机译:
基于复制的最大化异构计算系统可靠性的任务调度算法
作者:
Shuli Wang
;
Kenli Li
;
Jing Mei
;
Keqin Li
;
Yan Wang
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
graph theory;
optimisation;
redundancy;
telecommunication network reliability;
RMSR algorithm;
communication reliability;
heterogeneous computing system;
network failure;
optimal reliability communication path;
randomly generated graph;
reliability-aware task scheduling algorithm;
replication-based scheduling for maximizing system reliability;
task reliability threshold;
task replication phase;
Algorithm design and analysis;
Computational modeling;
Equations;
Mathematical model;
Program processors;
Reliability;
Scheduling algorithms;
Directed acyclic graph;
Heterogeneous computing systems;
Reliability-aware scheduling;
Replication-based algorithm;
9.
GPS: Towards Simplified Communication on SGL Model
机译:
GPS:简化SGL模型的通讯
作者:
Li Chong
;
Hains Gaetan
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Computational modeling;
Global Positioning System;
Prediction algorithms;
Program processors;
Programming;
Synchronization;
Vectors;
BSML;
GPS theorem;
Parallel programming;
SGL;
bridging model;
simplified communication;
10.
EduPar Introduction and Committees
机译:
EduPar简介和委员会
作者:
Prasad Sushil K
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Conferences;
Distributed computing;
Educational institutions;
Handheld computers;
Science - general;
US Government;
11.
A Comparison of a Campus Cluster and Open Science Grid Platforms for Protein-Guided Assembly Using Pegasus Workflow Management System
机译:
使用Pegasus工作流管理系统比较校园集群和开放式科学网格平台进行蛋白质引导的组装
作者:
Pavlovikj N.
;
Begcy K.
;
Behera S.
;
Campbell M.
;
Walia H.
;
Deogun J.S.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cloud computing;
grid computing;
natural sciences computing;
resource allocation;
workflow management software;
OSG;
Pegasus WMS;
Pegasus workflow management system;
Sandhills;
blast2cap3 modularity;
blast2cap3 workflow;
campus clusters;
clouds;
complex computational tasks;
distributed execution platforms;
distributed platforms;
intensive resource requirements;
open science grid platforms;
protein-guided assembly;
resource allocation;
scientific workflows;
Assembly;
Educational institutions;
Libraries;
Pipelines;
Proteins;
Software;
Workflow management software;
blast2cap3;
campus cluster;
open science grid;
pegasus workflow management system;
protein-guided assembly;
scientific workflow;
transcriptome assembly;
12.
Adaptive N to P Portfolio for Solving Constraint Programming Problems on Top of the Parallel Bobpp Framework
机译:
自适应N to P产品组合,用于解决基于并行Bobpp框架的约束编程问题
作者:
Menouer Tarek
;
Cun Bertrand Le
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Adaptation models;
Heuristic algorithms;
Load management;
Optimization;
Portfolios;
Programming;
Search problems;
Combinatorial Optimization;
Dynamic load balancing;
Parallelism;
scheduling;
13.
gpuRF and gpuERT: Efficient and Scalable GPU Algorithms for Decision Tree Ensembles
机译:
gpuRF和gpuERT:决策树集成的高效且可扩展的GPU算法
作者:
Jansson K.
;
Sundell H.
;
Bostrom H.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
decision trees;
graphics processing units;
learning (artificial intelligence);
mathematics computing;
multi-threading;
parallel algorithms;
parallel architectures;
C/C++ language;
CUDA interface;
GPGPU;
GPU algorithms;
NVidia-based GPUs;
contemporary graphics cards;
decision tree ensembles;
decision trees;
ensemble learning methods;
ensemble methods;
extremely randomized trees;
general-purpose computing;
gpuERT;
gpuRF;
machine learning;
many-core platforms;
memory hierarchy;
parallel algorithms;
parallel implementations;
predictive model generation;
random forests;
thread scheduling;
Decision trees;
Graphics processing units;
Histograms;
Instruction sets;
Kernel;
Radio frequency;
Vegetation;
CUDA;
GPGPU;
Machine Learning;
Parallel Algorithms;
Random Forest;
14.
Over-clocking of Linear Projection Designs through Device Specific Optimisations
机译:
通过特定于设备的优化对线性投影设计进行超频
作者:
Duarte R.P.
;
Bouganis C.-S.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
clocks;
optimisation;
arithmetic operators;
delay models;
device specific optimisations;
fabrication process;
generic multipliers;
image processing;
linear projection algorithm;
linear projection designs;
low latency requirements;
optimisation framework;
over clocking;
over-clocked arithmetic units;
performance characterisation step;
synthesis tools;
video processing;
Clocks;
Digital signal processing;
Field programmable gate arrays;
Hardware;
Optimization;
Performance evaluation;
Throughput;
15.
CFD Builder: A Library Builder for Computational Fluid Dynamics
机译:
CFD Builder:用于计算流体动力学的库生成器
作者:
Jayaraj J.
;
Pei-Hung Lin
;
Woodward P.R.
;
Pen-Chung Yew
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cache storage;
computational fluid dynamics;
finite difference methods;
multi-threading;
optimisation;
parallel processing;
program compilers;
software architecture;
CFD builder;
MPI;
architectures;
cache hierarchy;
compilers;
computational fluid dynamics;
finite difference codes;
hierarchical data layout;
library builder;
maximal array contraction;
multi-threading;
per-node performance;
pipeline-for-reuse;
scientific computing;
short SIMD engines;
storage optimization;
Arrays;
Computational fluid dynamics;
Instruction sets;
Layout;
Pipeline processing;
Programming;
CFD;
briquette;
hierarchical data layout;
high performance;
source-to-source;
16.
JSSPP Introduction and Committees
机译:
JSSPP简介和委员会
作者:
Cirne Walfredo
;
Desai Narayan
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Conferences;
Distributed processing;
Educational institutions;
Google;
Laboratories;
Parallel processing;
Quality of service;
17.
Mega Data Center for Elastic Internet Applications
机译:
面向弹性Internet应用的巨型数据中心
作者:
Qian Hangwei
;
Rabinovich Michael
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Bandwidth;
Fabrics;
IP networks;
Internet;
Load management;
Resource management;
Servers;
Cloud computing;
Data center;
Load balance;
Scalability;
18.
Hardware/Software Vectorization for Closeness Centrality on Multi-/Many-Core Architectures
机译:
硬件/软件矢量化,实现多核/多核架构上的紧密中央性
作者:
Sariyuce A.E.
;
Saule E.
;
Kaya K.
;
Catalyurek U.V.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
hardware-software codesign;
multiprocessing systems;
parallel programming;
closeness centrality metric;
fine-grain parallelization;
graph kernels;
hardware-software vectorization;
high performance computing techniques;
many-core architecture;
multicore architecture;
social networks;
vectorization scheme;
Complexity theory;
Computer architecture;
Hardware;
Parallel processing;
Program processors;
Registers;
Vectors;
Centrality;
Intel Xeon Phi;
breadth-first search;
closeness centrality;
vectorization;
19.
HPGC Introduction and Committees
机译:
HPGC简介和委员会
作者:
Aubanel Eric
;
Bhavsar Virendrakumar C.
;
Frumkin Michael
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Big data;
Cloud computing;
Conferences;
Distributed processing;
Educational institutions;
20.
A New Parallel Algorithm for Two-Pass Connected Component Labeling
机译:
两遍连通组件标记的新并行算法
作者:
Gupta S.
;
Palsetia D.
;
Ali Patwary M.M.
;
Agrawal A.
;
Choudhary A.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
image resolution;
memory architecture;
multi-threading;
parallel algorithms;
shared memory systems;
2D image;
OpenMP;
PAREMSP;
REM algorithm;
best union-find technique;
image processing;
image size;
label equivalence information;
parallel version;
pattern recognition;
provisional label;
resolution fixed problem size;
scalable parallel two-pass CCL algorithm;
scan strategy;
shared memory architecture;
thread;
two-pass connected component labeling;
two-pass technique;
Algorithm design and analysis;
Arrays;
Corporate acquisitions;
Decision trees;
Labeling;
Parallel algorithms;
CCL;
Image Processing;
OpenMP;
Pattern Recognition;
Union-FInd;
21.
A Distributed Algorithm for a Reconfigurable Modular Surface
机译:
一种可重构模块化曲面的分布式算法
作者:
El-Baz D.
;
Piranda B.
;
Bourgeois J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
distributed processing;
electronic engineering computing;
iterative methods;
micromechanical devices;
optimisation;
block motion;
discrete trajectory optimization problem;
distributed algorithm;
distributed asynchronous iterative elections;
microparts;
minimum hop count;
reconfigurable microelectro-mechanical modular surface;
reconfigurable modular surface;
Context;
Distributed algorithms;
Iterative methods;
Micromechanical devices;
Nominations and elections;
Optimization;
XML;
MEMS;
Smart Blocks;
distributed computing;
optimization;
self-organizing system;
self-reconfigurable system;
smart conveyor;
22.
An Efficient Algorithm for Scheduling Jobs in Volunteer Computing Platforms
机译:
志愿计算平台中高效的作业调度算法
作者:
Essafi A.
;
Trystram D.
;
Zaidi Z.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
decision making;
scheduling;
BOINC;
global centralized control;
independent job scheduling;
resource availability periods;
resource reputation mechanism;
scheduling decision making;
volunteer computing platforms;
Algorithm design and analysis;
Availability;
Processor scheduling;
Program processors;
Schedules;
Stability analysis;
Uncertainty;
Disturbance;
Heterogeneous computing;
Scheduling;
Unavailability;
Volunteer Computing;
23.
FPGA Redundancy Configurations: An Automated Design Space Exploration
机译:
FPGA冗余配置:自动设计空间探索
作者:
Anwer J.
;
Platzner M.
;
Meisner S.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
design engineering;
field programmable gate arrays;
redundancy;
BYU-LANL TMR tool;
CMOS transistor sizes;
FPGA design;
FPGA redundancy configurations;
ISCAS circuit benchmarks;
TMR voting structures;
automated design space exploration;
automated tool flow;
cascaded TMR redundancy configurations;
design space exploration tool flow;
digital circuits;
digital design;
dynamic power consumption;
integrated circuits;
latency;
output reliability;
reliability level;
triple modular redundancy;
voter circuits;
voter insertion algorithm;
Algorithm design and analysis;
Benchmark testing;
Field programmable gate arrays;
Power demand;
Redundancy;
Tunneling magnetoresistance;
BYU-LANL TMR tool;
Redundancy configurations;
Reliability;
Voter-insertion algorithms;
24.
Adaptive Raytracing Implementation Using Partial Dynamic Reconfiguration
机译:
使用部分动态重新配置的自适应光线跟踪实现
作者:
Durelli G.
;
Spada F.
;
Cattaneo R.
;
Pilato C.
;
Pau D.
;
Santambrogio M.D.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
ray tracing;
rendering (computer graphics);
3D scene rendering;
AVNET ZedBoard platform;
adaptive raytracing implementation;
partial dynamic reconfiguration;
visual realism;
Algorithm design and analysis;
Computer architecture;
Hardware;
Program processors;
Rendering (computer graphics);
Three-dimensional displays;
Embedded software;
Field Programmable Gate Arrays;
Image processing;
25.
New Algorithm for Computing Eigenvectors of the Symmetric Eigenvalue Problem
机译:
计算对称特征值问题特征向量的新算法
作者:
Haidar A.
;
Luszczek P.
;
Dongarra J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
computational complexity;
eigenvalues and eigenfunctions;
matrix algebra;
asymptotic operation count;
computational complexity;
dense symmetric matrix;
eigenvectors;
multistage algorithm;
scalability;
symmetric eigenvalue problem;
Algorithm design and analysis;
Complexity theory;
Eigenvalues and eigenfunctions;
Kernel;
Processor scheduling;
Software algorithms;
Symmetric matrices;
dynamic runtime scheduling;
eigenvectors;
symmetric eigenvalue problem;
26.
An FPGA Implementation of the Hestenes-Jacobi Algorithm for Singular Value Decomposition
机译:
Hestenes-Jacobi奇异值分解算法的FPGA实现
作者:
Xinying Wang
;
Zambreno J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Jacobian matrices;
computational complexity;
field programmable gate arrays;
graphics processing units;
singular value decomposition;
FPGA;
GPU-based implementations;
Hestenes-Jacobi algorithm;
Jacobi rotation;
SVD;
arbitrary rectangular matrices;
arbitrary sized matrices;
computational complexity;
data analysis systems;
dimensionality reduction;
floating-point Hestenes-Jacobi architecture;
medium column dimensions;
signal processing;
singular value decomposition;
Algorithm design and analysis;
Computer architecture;
Covariance matrices;
Field programmable gate arrays;
Jacobian matrices;
Matrix decomposition;
Vectors;
Architecture;
FPGA;
Hestenes-Jacobi Algorithm;
Singular Value Decomposition;
27.
HCW 2014 Keynote Talk
机译:
HCW 2014主题演讲
作者:
Abramson D.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
computer architecture;
configuration management;
data flow computing;
data structures;
graphics processing units;
parallel programming;
program debugging;
program diagnostics;
CCDB;
CPUs;
DELTA5D;
GPUs;
ORNL;
OpenACC program;
Titan machine;
UPC program;
accelerators;
comparative debugging;
comparison interface;
data structure contents;
dataflow;
global runtime status;
hybrid computer architectures;
hybrid programs;
hybrid version;
parallel heterogeneous program highly;
parallel hybrid CPU/GPU systems;
reference version;
software error tracing;
stellarator particle simulation;
Computer architecture;
Conferences;
Debugging;
Distributed processing;
Educational institutions;
High performance computing;
Information technology;
28.
A Framework for Customizing Virtual 3-D Reconfigurable Platforms at Run-Time
机译:
在运行时自定义虚拟3-D可重配置平台的框架
作者:
Siozios Kostas
;
Soudris Dimitrios
;
Hubner Michael
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Conferences;
Distributed processing;
Erbium;
Field programmable gate arrays;
Microprocessors;
Neurons;
Routing;
29.
XSW: Accelerating Biological Database Search on Xeon Phi
机译:
XSW:在Xeon Phi上加速生物数据库搜索
作者:
Lipeng Wang
;
Yuandong Chan
;
Xiaohui Duan
;
Haidong Lan
;
Xiangxu Meng
;
Weiguo Liu
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
biology computing;
database management systems;
graphics processing units;
multiprocessing systems;
parallel algorithms;
parallel architectures;
proteins;
GPU-based CUDASW++ 3.0;
XSW;
Xeon Phi coprocessor;
biological database search;
multicore CPU-based SWIPE;
parallel Smith-Waterman algorithm;
parallelization scheme;
protein sequence databases;
Computational modeling;
Computer architecture;
Coprocessors;
Databases;
Graphics processing units;
Instruction sets;
Vectors;
Knights Corner instructions;
Smith-Waterman;
Xeon Phi;
dynamic programming;
sequence alignment;
30.
Near-Optimal Location Tracking Using Sensor Networks
机译:
使用传感器网络进行近乎最佳的位置跟踪
作者:
Sharma Gokarna
;
Krishnan Hari
;
Busch Costas
;
Brandt Steven R.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Approximation algorithms;
Image edge detection;
Maintenance engineering;
Mobile communication;
Mobile computing;
Object tracking;
Competitive ratio;
Hierarchical structure;
Location Tracking;
Mobile objects;
Sensor networks;
31.
Nanoscale Cluster Detection in Massive Atom Probe Tomography Data
机译:
大规模原子探针层析成像数据中的纳米级簇检测
作者:
Seal S.K.
;
Yoginath S.B.
;
Miller M.K.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
atom probe field ion microscopy;
graphics processing units;
materials science computing;
parallel architectures;
GPU-acceleration;
atom types;
atomic clusters;
autocorrelation algorithm;
autocorrelation-based APT data analysis;
commodity hardware;
data acquisition capabilities;
large-synthetically generated data;
linear time;
massive APT data set generation;
massive atom probe tomography data;
material structural properties;
nanoscale cluster detection algorithm;
scalable-CUDA-based implementation;
spatial correlation analysis;
spatial distributions;
Arrays;
Clustering algorithms;
Correlation;
Graphics processing units;
Materials;
Nanoscale devices;
Probes;
atom probe tomography;
autocorrelation;
parallel algorithms;
32.
The Power-Performance Tradeoffs of the Intel Xeon Phi on HPC Applications
机译:
Intel Xeon Phi在HPC应用程序上的电源性能折衷
作者:
Bo Li
;
Hung-Ching Chang
;
Shuaiwen Song
;
Chun-Yi Su
;
Meyer T.
;
Mooring J.
;
Cameron K.W.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
energy conservation;
mainframes;
microprocessor chips;
multiprocessing systems;
parallel machines;
HPC applications;
Intel Xeon Phi architecture;
Intel multicore;
Intel's Xeon Phi architecture;
NVIDIA GPGPU accelerators;
NVIDIA Tesla GPU;
Sandy Bridge host processor;
Top500 List;
energy efficiency;
isolate system power;
power-performance tradeoffs;
supercomputers leveraging accelerators;
systemic measurement;
Benchmark testing;
Computer architecture;
Data transfer;
Instruction sets;
Kernel;
Power measurement;
Rails;
Intel Xeon Phi;
Performance;
Power;
33.
Large Scale Discriminative Metric Learning
机译:
大规模判别度量学习
作者:
Kirchner P.D.
;
Boehm M.
;
Reinwald B.
;
Sow D.
;
Schmidt M.
;
Turaga D.
;
Biem A.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data handling;
learning (artificial intelligence);
Hadoop;
LSML parameters;
Mahalanobis distance;
R-like syntax;
SystemML;
large scale discriminative metric learning;
localized supervised metric learning scheme;
Euclidean distance;
Matrix decomposition;
Newton method;
Optimization;
Training;
Vectors;
Automatic Optimization;
Hadoop;
Metric Learning;
34.
AsHES Keynote
机译:
AsHES主题演讲
作者:
Vetter J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
parallel processing;
power aware computing;
software architecture;
HPC co-design space;
architectural features;
energy efficiency;
energy reliability;
heterogeneous processing;
hierarchical parallelism;
nonvolatile memory;
optical interconnection networks;
programming models;
Abstracts;
Computer architecture;
Conferences;
Distributed processing;
Software;
Software reliability;
35.
SWIFT: A Transparent and Flexible Communication Layer for PCIe-Coupled Accelerators and (Co-)Processors
机译:
SWIFT:PCIe耦合的加速器和(协)处理器的透明灵活的通信层
作者:
Pickartz S.
;
Reble P.
;
Clauss C.
;
Lankes S.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
coprocessors;
integrated circuit interconnections;
peer-to-peer computing;
peripheral interfaces;
MPI benchmark;
PCIe-coupled accelerators;
SWIFT;
coprocessors;
flexible communication layer;
hardware abstraction layer;
heterogeneous landscape;
high-performance interconnects;
input-output devices;
nontransparent bridging architecture;
peer-to-peer manner;
peripheral component interconnect express;
predominant interconnect;
principal performance characteristics;
socket wheeled intelligent fabric transport;
storage devices;
transparent communication layer;
Fabrics;
Hardware;
Libraries;
Network topology;
Peer-to-peer computing;
Postal services;
Topology;
Heterogeneous PCIe-coupled Compute Nodes;
PCI Express non-transparent bridging architectures;
PCIe-based accelerators and coprocessors;
36.
ParLearning Keynote
机译:
ParLearning主题演讲
作者:
Xing Eric P.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Analytical models;
Awards activities;
Biological system modeling;
Computational modeling;
Computer science;
Data models;
Educational institutions;
37.
Autonomy Requirements Engineering for Self-Adaptive Science Clouds
机译:
自适应科学云的自治需求工程
作者:
Vassev E.
;
Hinchey M.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cloud computing;
formal specification;
natural sciences computing;
quality of service;
ARE;
QoS requirements;
autonomy feature detection;
autonomy requirements engineering;
feature identification;
self-* objectives;
self-adaptive science clouds;
service failures;
workload handling;
Adaptation models;
Cloud computing;
Computational modeling;
Memory;
Natural languages;
Unified modeling language;
Virtual machining;
autonomic systems;
autonomy requirements;
self-adaptive clouds;
38.
Improving I/O Performance with Adaptive Data Compression for Big Data Applications
机译:
通过适用于大数据应用程序的自适应数据压缩来提高I / O性能
作者:
Hongbo Zou
;
Yongen Yu
;
Wei Tang
;
Chen H.M.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Big Data;
data analysis;
data compression;
Big Data applications;
I/O performance;
adaptive compression service;
adaptive data compression algorithm;
adaptive data compression technology;
data analysis;
data movement cost;
data transition bandwidth;
high end computing machines;
petascale applications;
Analytical models;
Bandwidth;
Compression algorithms;
Computational modeling;
Data compression;
Data models;
Data transfer;
Big Data;
Compression;
High-end Computing;
I/O Bottlenecks;
In-situ Analytics;
39.
A Framework for Mapping Dynamic Virtual Kernels onto Heterogeneous Reconfigurable Platforms
机译:
用于将动态虚拟内核映射到异构可重配置平台上的框架
作者:
Sidiropoulos H.
;
Siozios K.
;
Soudris D.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
field programmable gate arrays;
operating system kernels;
reconfigurable architectures;
dynamic multiple application mapping;
dynamic virtual kernel mapping;
field programmable gate arrays;
heterogeneous FPGA;
heterogeneous reconfigurable platform;
heterogeneous system;
operation frequency;
tool flow targeting;
Conferences;
Distributed processing;
FPGA;
Placement algorithms;
Virtualization;
heterogeneity;
40.
DPDNS Introduction and Committees
机译:
DPDNS简介和委员会
作者:
Avresky Dimiter
;
Maehle Erik
;
Distefano Salvatore
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Cloud computing;
Committees;
Conferences;
Distributed processing;
Educational institutions;
IEEE Computer Society;
Information technology;
41.
Using Physical Stigmergy in Decentralized Optimization under Multiple Non-separable Constraints: Formal Methods and an Intelligent Lighting Example
机译:
在多个不可分约束下的分散优化中使用物理电能像差:形式化方法和智能照明示例
作者:
Pavlic T.P.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
artificial intelligence;
decision making;
distributed algorithms;
distributed power generation;
formal specification;
lighting;
42.
A Load Balancing Behavior for Underwater Robot Swarms to Increase Mission Time and Fault Tolerance
机译:
水下机器人的负载平衡行为会增加任务时间和容错能力
作者:
Amory A.
;
Tosik T.
;
Maehle E.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
autonomous underwater vehicles;
fault tolerant control;
multi-robot systems;
position control;
pressure sensors;
autonomous underwater vehicles;
damaged hardware parts;
environmental monitoring;
erroneous pressure sensors;
fault tolerance;
load balancing behavior;
mission time;
submerged AUV;
swarm formation;
underwater robot swarms;
Fault tolerance;
Fault tolerant systems;
Global Positioning System;
Robot kinematics;
Robot sensing systems;
Autonomous Underwater Vehicles (AUVs);
Energy Load-Balancing;
Fault-Tolerance;
Swarm;
43.
Efficient Computation of the Phylogenetic Likelihood Function on the Intel MIC Architecture
机译:
英特尔MIC架构上系统发生似然函数的高效计算
作者:
Kozlov Alexey M.
;
Goll Christian
;
Stamatakis Alexandros
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Coprocessors;
Hardware;
Kernel;
Microwave integrated circuits;
Phylogeny;
Prefetching;
Vectors;
bioinformatics;
phylogenetics;
maximum likelihood;
Intel MIC;
parallel processing;
MPI;
44.
Message from the HCW General Chair
机译:
HCW主席致辞
作者:
Schwiegelshohn Uwe
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Computer architecture;
Computers;
Conferences;
Distributed processing;
Reliability;
Resource management;
System performance;
45.
Position Paper: Locality-Driven Scheduling of Tasks for Data-Dependent Multithreading
机译:
立场文件:基于数据的多线程任务的本地驱动计划
作者:
Arteaga J.
;
Zuckerman S.
;
Garcia E.
;
Guang Gao
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data flow analysis;
graph theory;
multi-threading;
processor scheduling;
LDCS;
data dependency graph;
data-dependent multithreading;
dynamic scheduling kernel version;
fine-grain synchronization;
fine-programming models;
internal phases;
locality-driven code scheduling;
locality-driven task scheduling;
macro-dataflow models;
multithreaded applications;
single coarse-grain construct;
software-managed memory hierarchy;
super-task;
Computational modeling;
Computer architecture;
Hardware;
Instruction sets;
Kernel;
Processor scheduling;
Scheduling;
Dynamic Scheduling;
Locality-Aware Scheduling;
Many-core Architecture;
Multithreading;
Super-tasks;
46.
MTAAP Introduction and Committees
机译:
MTAAP简介和委员会
作者:
DeRose Luiz
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Computer architecture;
Conferences;
Educational institutions;
Laboratories;
Microwave integrated circuits;
Multithreading;
Programming;
47.
The Heuristic Static Load-Balancing Algorithm Applied to the Community Earth System Model
机译:
启发式静态负载均衡算法在社区地球系统模型中的应用
作者:
Alexeev Y.
;
Mickelson S.
;
Leyffer S.
;
Jacob R.
;
Craig A.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Earth;
environmental factors;
geophysics computing;
integer programming;
nonlinear programming;
parallel processing;
resource allocation;
tree searching;
CPU cores;
IBM Blue Gene/P;
MINLP package;
MINOTAUR;
automated tools;
climate model;
community Earth system model;
fitted benchmark data;
heuristic static load-balancing algorithm;
load-balancing problem solving;
mixed-integer nonlinear optimization problem;
optimal solution;
optimization branch-and-bound solver;
Atmospheric modeling;
Ice;
Layout;
Load modeling;
Mathematical model;
Optimization;
Program processors;
climate modeling;
constrained optimization;
global optimization;
heuristic algorithm;
integer programming;
nonlinear programming;
static load balancing;
48.
Performance Modeling for Hardware Thread-Level Speculation
机译:
硬件线程级推测的性能建模
作者:
Ying-Chieh Wang
;
Che-Rung Lee
;
Yeh-Ching Chung
;
I-Hsin Chung
;
Perrone M.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
performance evaluation;
IBM Blue Gene/Q computer;
TLS behavior;
TLS hardware;
hardware thread-level speculation;
memory conflicts;
performance prediction;
preliminary performance model;
serial processing;
Analytical models;
Computational modeling;
Delays;
Hardware;
Instruction sets;
Performance gain;
Radiation detectors;
49.
A Distributed Speech Algorithm for Large Scale Data Communication Systems
机译:
大规模数据通信系统中的分布式语音算法
作者:
Naixue Xiong
;
Guoxiang Tong
;
Wenzhong Guo
;
Jian Tan
;
Guanning Wu
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
algorithm theory;
data communication;
feature extraction;
least mean squares methods;
speech enhancement;
speech intelligibility;
speech recognition;
VS-LMS algorithm;
data communication systems;
data-driven computing;
distributed speech algorithm;
least mean square;
signal masking;
speech extraction;
speech intelligibility;
speech noise reduction system;
subspace algorithm theory;
Algorithm design and analysis;
Filtering;
Least squares approximations;
Noise measurement;
Signal to noise ratio;
Speech;
Adaptive Filter;
LMS (least mean square);
Speech noise reduction system;
Subspace noise reduction algorithm;
digital signal processing (DSP);
50.
Comparison of Parallel Programming Models on Intel MIC Computer Cluster
机译:
英特尔MIC计算机集群上并行编程模型的比较
作者:
Chenggang Lai
;
Zhijun Hao
;
Miaoqing Huang
;
Xuan Shi
;
Haihang You
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
application program interfaces;
coprocessors;
multi-threading;
Beacon computer cluster;
Intel MIC computer cluster;
MIC coprocessors;
MPI programming model;
OpenMP;
high-performance computer clusters;
many integrated core architecture;
multi-threading;
parallel programming models;
Computational modeling;
Computers;
Coprocessors;
Interpolation;
Microwave integrated circuits;
Performance evaluation;
Programming;
Intel MIC processor;
MPI;
OpenMP;
parallel programming model;
performance evaluation;
51.
Optimizing Buffer Sizes for Pipeline Workflow Scheduling with Setup Times
机译:
使用设置时间优化管道工作流调度的缓冲区大小
作者:
Benoit A.
;
Nicod J.-M.
;
Rehn-Sonigo V.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
buffer storage;
computational complexity;
graph theory;
pipeline processing;
processor scheduling;
buffer size optimization;
homogeneous buffers;
homogeneous processors;
linear application graph;
linear workflow application mapping;
optimal buffer allocation;
pipeline workflow scheduling;
polynomial time;
processor setup time;
setup times;
Bismuth;
Memory management;
Optimized production technology;
Pipelines;
Silicon;
Throughput;
Tin;
buffers;
cost minimization;
linear workflow;
mapping;
setup times;
52.
High-Performance Zonal Histogramming on Large-Scale Geospatial Rasters Using GPUs and GPU-Accelerated Clusters
机译:
使用GPU和GPU加速群集的大型地理空间栅格上的高性能区域直方图
作者:
Jianting Zhang
;
Dali Wang
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
geography;
graphics processing units;
parallel processing;
NASA shuttle radar topography mission;
data intensive computing;
digital elevation model raster cells;
general purpose computing on graphics processing units technologies;
geospatial raster;
high-performance zonal histogramming;
large-scale geospatial rasters;
popular geospatial operation;
single Nvidia GTX Titan GPU device;
Arrays;
Geospatial analysis;
Graphics processing units;
Histograms;
Instruction sets;
Parallel processing;
Spatial resolution;
GPU;
Geospatial Rasters;
Parallel Computing;
Point-in-Polygon Test;
Zonal Histogramming;
53.
EEWA: Energy-Efficient Workload-Aware Task Scheduling in Multi-core Architectures
机译:
EEWA:多核体系结构中的节能型工作量感知任务计划
作者:
Chen Quan
;
Zheng Long
;
Guo Minyi
;
Huang Zhiyi
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Energy consumption;
Multicore processing;
Processor scheduling;
Runtime;
Schedules;
Time-frequency analysis;
DVFS;
Online Profiling;
Task Scheduling;
54.
llamaOS: A Solution for Virtualized High-Performance Computing Clusters
机译:
llamaOS:虚拟化高性能计算集群的解决方案
作者:
Magato W.A.
;
Wilsey P.A.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
message passing;
operating systems (computers);
parallel processing;
virtualisation;
HPC systems;
TCP;
communication performance;
communication throughput;
fine-grain parallelism;
llamaNET;
llamaOS virtual environment;
low-latency message passing communication;
low-latency minimal appliance operating system;
message sizes;
network latency;
networking framework;
performance capabilities;
runtime computational overhead;
system level services;
virtualization technologies;
virtualized high-performance computing clusters;
Hardware;
Linux;
Operating systems;
Standards;
Virtual machine monitors;
Virtualization;
hpc;
networking;
operating system;
virtualization;
55.
HiPGA: A High Performance Genome Assembler for Short Read Sequence Data
机译:
HiPGA:用于短读序列数据的高性能基因组组装商
作者:
Xiaohui Duan
;
Kun Zhao
;
Weiguo Liu
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
distributed memory systems;
graph theory;
parallel algorithms;
shared memory systems;
HiPGA;
de Bruijn graph;
de novo assembly algorithm;
distributed-memory system;
genome sequencing;
high performance genome assembler;
next-generation sequencing technology;
parallelized file I/O scheme;
scalable parallel algorithm;
shared-memory multicore CPU;
short read sequence data;
Assembly;
Bioinformatics;
Couplings;
Genomics;
Parallel processing;
Pipelines;
Vectors;
Genome Assembly;
MPI;
Multi-threading;
Short Read Data;
de Bruijn Graph;
56.
An Efficient Implementation of the Gradient-Based Hough Transform Using DSP Slices and Block RAMs on the FPGA
机译:
在FPGA上使用DSP Slice和Block RAM有效实现基于梯度的霍夫变换
作者:
Xin Zhou
;
Ito Y.
;
Nakano K.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Hough transforms;
digital signal processing chips;
embedded systems;
field programmable gate arrays;
gradient methods;
random-access storage;
Xilinx Virtex-7 FPGA;
block RAM;
embedded DSP slices;
gradient information;
gradient-based Hough transform;
Computer architecture;
Digital signal processing;
Field programmable gate arrays;
Image edge detection;
Random access memory;
Shape;
Transforms;
Embedded DSP slices;
Embedded block RAMs;
FPGA;
Hough transform;
Image processing;
Line detection;
57.
Using GPU Shared Memory with a Directive-Based Approach
机译:
通过基于指令的方法使用GPU共享内存
作者:
Wei Ding
;
Ligang Lu
;
Araya-Polo M.
;
St-Cyr A.
;
Hohl D.
;
Chapman B.M.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
graphics processing units;
parallel architectures;
parallel programming;
shared memory systems;
CUDA;
GPU shared memory;
HMPP implementation;
RTM;
code portability;
directive-based programming models;
graphic processing units;
hybrid multicore parallel programming;
oil-and-gas industry algorithm;
reverse time migration;
Computer architecture;
Graphics processing units;
Imaging;
Instruction sets;
Kernel;
Programming;
Three-dimensional displays;
CUDA;
GPU;
RTM;
directive-based;
shared memory;
58.
Exploring Large Scale Receptor-Ligand Pairs in Molecular Docking Workflows in HPC Clouds
机译:
探索HPC云中分子对接工作流程中的大规模受体-配体对。
作者:
Ocana Kary
;
Benza Silvia
;
Oliveira Daniel de
;
Dias Jonas
;
Mattoso Marta
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Complexity theory;
Compounds;
Databases;
Drugs;
Engines;
Parallel processing;
Proteins;
cloud;
drug discovery;
workflow;
59.
SkewControl: Gini Out of the Bottle
机译:
SkewControl:瓶子里的吉妮
作者:
Si Zheng
;
Yunhuai Liu
;
Tian He
;
Li Shanshan
;
Xiangke Liao
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Big Data;
scheduling;
Big Data;
Hadoop 1.0.4 production system;
LATE systems;
MapReduce system performance;
SkewControl;
SkewTune systems;
application-dependent domain knowledge;
closed-loop automatic mechanism;
data skew;
extreme-scale data processing system;
scheduling;
system response time;
task partitioning;
Cellular phones;
Data processing;
Distributed databases;
Educational institutions;
History;
Manuals;
Time factors;
60.
Adaptive Booth Algorithm for Three-Integers Multiplication for Reconfigurable Mesh
机译:
可重构网格的三整数乘法自适应Booth算法
作者:
Stein Esti
;
Asher Yosi Ben
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Complexity theory;
Convolution;
Educational institutions;
Table lookup;
Three-dimensional displays;
Transforms;
Vectors;
Booth multiplication;
cartesian addition;
extended summing;
reconfigurable mesh;
61.
Parallelism Extraction Algorithm from Stream-Based Processing Flow Applying Spanning Tree
机译:
基于生成树的基于流的处理流并行提取算法
作者:
Guyue Wang
;
Yamagiwa S.
;
Wada K.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data visualisation;
directed graphs;
graphical user interfaces;
multiprocessing systems;
parallel algorithms;
parallel architectures;
pipeline processing;
processor scheduling;
trees (mathematics);
GPU;
GUI-based programming tool;
I/O;
accelerator kernel program;
accelerators;
complex processing flow;
control program development;
directed graph;
high performance computing;
manycore architecture;
parallel computing;
parallelism extraction algorithm;
pipeline-based processing flow;
programming method;
schedule;
spanning tree;
stream computing paradigm;
stream-based processing flow;
supercomputers;
visualization;
Distributed processing;
Graphics processing units;
Indexes;
Kernel;
Runtime;
Synchronization;
XML;
Caravela;
GPUs;
High Performance Computing;
Spanning Tree Algorithm;
Stream Computing;
62.
Resource Centered Computing Delivering High Parallel Performance
机译:
以资源为中心的计算可提供高并行性能
作者:
Gustedt J.
;
Vialle S.
;
Mercier P.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
application program interfaces;
graphics processing units;
natural sciences computing;
parallel architectures;
parallel programming;
API;
CPU cores;
GPU cores;
MPI+OpenMP+CUDA;
ORWL programmers;
critical sections;
high parallel performance;
hybrid hierarchical cluster;
local resources;
ordered read-write locks;
parallel architectures;
parallel developers;
read-write semantic;
remote resources;
resource centered computing;
resource centric parallel programming;
scientific computing libraries;
Computational modeling;
Computer architecture;
Graphics processing units;
Kernel;
Parallel processing;
Parallel programming;
GPU;
accelerators;
clusters;
experiments;
performance;
read-write locks;
resource centered computing;
63.
GPU Enhanced Path Finding for an Unmanned Aerial Vehicle
机译:
GPU增强的无人机航路寻找
作者:
Hossain Roksana
;
Magierowski Sebastian
;
Messier Geoffery G.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Clustering algorithms;
Genetic algorithms;
Graphics processing units;
Instruction sets;
Partitioning algorithms;
Robot sensing systems;
Symmetric matrices;
CUDA;
GA;
TSP;
UAV;
64.
Extracting Maximal Exact Matches on GPU
机译:
在GPU上提取最大精确匹配
作者:
Abu-Doleh A.
;
Kaya K.
;
Abouelhoda M.
;
Catalyurek U.V.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
biology computing;
database indexing;
genomics;
graphics processing units;
multi-threading;
storage management;
GPUMEM;
generated datasets;
genome sequences;
genomic sequences;
high-throughput sequencing technologies;
index construction;
index generation time;
index structures;
lightweight indexing structure;
massively parallel GPU threads;
maximal exact matches;
memory footprint;
Arrays;
Bioinformatics;
Genomics;
Graphics processing units;
Indexing;
Instruction sets;
GPUs;
indexing;
maximal exact matches;
parallel programming;
65.
DPDNS Keynote
机译:
DPDNS主题演讲
作者:
Nett E.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
fault tolerant computing;
feedback;
intelligent manufacturing systems;
mobile communication;
production engineering computing;
storage automation;
wireless mesh networks;
WMN;
ad-hoc communication;
centrally controlled manufacturing systems;
communication service;
control loop;
cooperative networked components;
cyber-physical production systems;
decentralized systems;
environmental dynamics;
fault-tolerance concept;
flexible communication service;
functional end-to-end requirements;
information Intelligent;
intelligent manufacturing systems;
mobile components;
mobility dynamics;
network structure;
nonfunctional end-to-end requirements;
real-time service quality;
resource admission;
resource assessment;
resource provision;
self-optimizing production process;
storage systems;
transport systems;
wireless mesh networks;
Conferences;
Distributed processing;
Educational institutions;
Mobile communication;
Speech;
Speech processing;
Wireless networks;
66.
PLC Introduction and Committees
机译:
PLC介绍与委员会
作者:
Chapman Barbara
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Analytical models;
Conferences;
Educational institutions;
Multicore processing;
Program processors;
Programming;
67.
Model-Driven Data Layout Selection for Improving Read Performance
机译:
由模型驱动的数据布局选择,以提高读取性能
作者:
Jialin Liu
;
Byna S.
;
Bin Dong
;
Kesheng Wu
;
Yong Chen
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data analysis;
input-output programs;
parallel processing;
storage management;
Cray XE6 platform;
Lustre file system;
OST;
RAID-based disks;
SDS framework;
block-level striping;
data analysis;
model-driven data layout selection;
object storage target;
parallel I/O model;
parallel file system;
physical storage devices;
read performance;
scientific data reading;
scientific data services framework;
Arrays;
Computational modeling;
Data models;
Distributed databases;
Layout;
Organizations;
Predictive models;
Big Data;
I/O Performance Model;
Scientific Data Management;
Scientific Services (SDS);
high performance computing;
68.
YAFIM: A Parallel Frequent Itemset Mining Algorithm with Spark
机译:
YAFIM:具有Spark的并行频繁项集挖掘算法
作者:
Hongjian Qiu
;
Rong Gu
;
Chunfeng Yuan
;
Yihua Huang
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data mining;
iterative methods;
parallel algorithms;
FIM process;
MapReduce;
Spark RDD framework;
YAFIM;
computing-intensive;
data-intensive;
distributed computing;
in-memory parallel computing model;
interactive data mining;
iterative algorithms;
knowledge extraction;
large scale data sets;
large scale dataset algorithms;
parallel apriori algorithm;
parallel frequent itemset mining algorithm;
real-world applications;
transactional dataset;
yet another frequent itemset mining;
Algorithm design and analysis;
Classification algorithms;
Clustering algorithms;
Computational modeling;
Data mining;
Itemsets;
Sparks;
Apriori Algorithm;
Frequent Itemset Mining;
Medical Application;
Parallel Computing;
Spark;
69.
Maintaining Dependable Communication Service for Mobile Stations in Wireless Mesh Networks by Tracking Capacity Demands
机译:
通过跟踪容量需求来维护无线网状网络中移动台的可靠通信服务
作者:
Lindhorst T.
;
Weseloh B.
;
Nett E.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
mobility management (mobile radio);
telecommunication congestion control;
telecommunication network reliability;
wireless mesh networks;
WMN;
admission control mechanisms;
capacity demand tracking;
communication networks;
dependable communication service;
mobile stations;
wireless mesh networks;
Admission control;
Mobile computing;
Mobile nodes;
Routing;
dependable communication;
mobility;
wireless mesh networks;
70.
Online Monitoring System for Performance Fault Detection
机译:
性能故障在线监测系统
作者:
Gioiosa R.
;
Kestor G.
;
Kerbyson D.J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
fault tolerant computing;
operating systems (computers);
parallel processing;
AMD Interlagos compute node;
OS noise;
data analytics application;
exaFLOPS performance;
low-threshold voltage;
near-threshold voltage;
next generation supercomputers;
operating systems;
parallel applications;
performance fault detection;
Debugging;
Hardware;
Monitoring;
Performance analysis;
Production;
Radiation detectors;
Runtime;
exascale;
operating system;
parallel applications;
performance faults;
reliability;
71.
Acceleration of GPU-Based Ultrasound Simulation via Data Compression
机译:
通过数据压缩加速基于GPU的超声仿真
作者:
Haigh A.A.
;
McCreath E.C.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data compression;
graphics processing units;
ultrasonic propagation;
GPU based ultrasound simulation acceleration;
GPU platform;
data compression;
fixed point representation;
floating point computations;
graphics processing units;
host device memory transfer;
interhost device transfers;
memory bandwidth;
scientific calculations;
ultrasound wave propagation;
Computational modeling;
Data models;
Graphics processing units;
Instruction sets;
Mathematical model;
Performance evaluation;
Ultrasonic imaging;
Data compression;
GPGPU;
Memory architecture;
Nonlinear acoustics;
Parallel architectures;
72.
OpenMP Task Scheduling Analysis via OpenMP Runtime API and Tool Visualization
机译:
通过OpenMP运行时API和工具可视化进行OpenMP任务计划分析
作者:
Qawasmeh A.
;
Malik A.M.
;
Chapman B.M.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
application program interfaces;
data visualisation;
program compilers;
scheduling;
task analysis;
AMD Opteron multicore system;
Barcelona OpenMP Test Suite;
OMPT;
ORA;
OpenMP benchmarks;
OpenMP community;
OpenMP runtime API;
OpenMP task scheduling analysis;
OpenUH compiler;
TAU performance system;
collector API;
data locality;
interface specification;
irregular parallelism;
parallel execution;
performance interface standards;
runtime layer;
shared memory platforms;
task level parallelism;
task pool configuration;
task pools;
tool visualization;
Benchmark testing;
Educational institutions;
Instruction sets;
Parallel processing;
Runtime;
Scheduling;
Standards;
Collector APIs;
OpenMP;
OpenMP tools;
Task scheduling;
73.
CoAdELL: Adaptivity and Compression for Improving Sparse Matrix-Vector Multiplication on GPUs
机译:
CoAdELL:自适应和压缩,用于改善GPU上的稀疏矩阵-向量乘法
作者:
Maggioni M.
;
Berger-Wolf T.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data compression;
graphics processing units;
matrix multiplication;
sparse matrices;
CoAdELL;
ELL-based sparse format;
GPU;
balanced hardware-level blocks;
complex computational problems;
compressed adaptive ELL;
double-precision SpMV calculations;
efficient sparse data structure;
graphic processing units;
lightweight index compression scheme;
sparse matrix-vector multiplication;
Data structures;
Graphics processing units;
Indexes;
Instruction sets;
Kernel;
Sparse matrices;
ELL;
GPU;
SpMV;
adaptive;
compression;
linear algebra;
matrix format;
optimization;
sparse;
74.
Analyzing Reliability of Virtual Machine Instances with Dynamic Pricing in the Public Cloud
机译:
在公共云中使用动态定价分析虚拟机实例的可靠性
作者:
Seung-Hwan Lim
;
Thakur G.S.
;
Horey J.L.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Web services;
cloud computing;
virtual machines;
Amazon Web services;
cloud computing environment;
dynamic pricing;
public cloud environment;
reliability analysis;
virtual machine instance;
Availability;
History;
Measurement;
Pricing;
Standards;
Virtual machining;
75.
Deterministic Synchronization of Multi-threaded Programs with Operational Transformation
机译:
具有操作转换的多线程程序的确定性同步
作者:
Boelmann C.
;
Schwittmann L.
;
Weis T.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
high level languages;
multi-threading;
operating systems (computers);
synchronisation;
Spawn and Merge;
deadlocks;
deterministic synchronization;
mainstream programming language;
multithreaded programs;
operational transformation;
parallel execution;
race conditions;
Data structures;
Indexes;
Instruction sets;
Merging;
Programming;
Synchronization;
Deterministic Synchronization;
Operational Transformation;
Parallel programming models;
Spawn and Merge;
76.
CyGraph: A Reconfigurable Architecture for Parallel Breadth-First Search
机译:
CyGraph:并行广度优先搜索的可重构体系结构
作者:
Attia O.G.
;
Johnson T.
;
Townsend K.
;
Jones P.
;
Zambreno J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
field programmable gate arrays;
parallel architectures;
search problems;
CSR format;
Convey HC-2 system;
CyGraph reconfigurable architecture;
FPGA-based implementation;
compressed-sparse raw format;
field programmable gate array;
graph structures;
high-performance computing application;
memory bandwidth;
parallel BFS;
parallel breadth-first search;
Algorithm design and analysis;
Arrays;
Bandwidth;
Indexes;
Kernel;
Optimization;
Breadth-First Search;
Convey HC-2;
FPGA;
Graphs;
Reconfigurable Computing;
77.
Solving Hard MIPLIB2003 Problems with ParaSCIP on Supercomputers: An Update
机译:
使用超级计算机上的ParaSCIP解决MIPLIB2003的难题:更新
作者:
Shinano Y.
;
Achterberg T.
;
Berthold T.
;
Heinz S.
;
Koch T.
;
Winkler M.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
checkpointing;
integer programming;
parallel processing;
HLRN III;
MIPLIB2003 problems;
ParaSCIP;
Titan supercomputer;
checkpointing file;
computing power;
mixed-integer programming;
software development;
supercomputers;
Checkpointing;
Electronic mail;
Heuristic algorithms;
Linear programming;
Load management;
Supercomputers;
Switches;
MIPLIB2003;
Mixed Integer Programming;
Parallel processing;
SCIP;
Ubiquity Generator Framework;
78.
Transparent GPU Execution of NumPy Applications
机译:
NumPy应用程序的透明GPU执行
作者:
Blum T.
;
Kristensen M.R.B.
;
Vinter B.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
graphics processing units;
program compilers;
software libraries;
Black-Scholes applications;
Bohrium runtime system;
GPU computation back-end;
N-body applications;
Python library NumPy applications;
array operation data parallelization;
dynamic code generation;
kernel generation;
low-level GPU executable kernels;
shallow water applications;
successive overrelaxation applications;
transparent GPU execution;
Arrays;
Bridges;
Engines;
Graphics processing units;
Kernel;
Libraries;
Vectors;
Code Generation;
Computational Science;
GPU;
JIT;
Python/NumPy;
79.
Hierarchical Pipeline Optimization of Coarse Grained Reconfigurable Processor for Multimedia Applications
机译:
多媒体应用的粗粒度可重构处理器的分层管道优化
作者:
Chen Mei
;
Peng Cao
;
Yang Zhang
;
Bo Liu
;
Leibo Liu
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
decoding;
image resolution;
multimedia systems;
optimisation;
pipeline processing;
reconfigurable architectures;
video coding;
AVS Jizhun profile;
H.264/AVC high profile;
MPEG-2 main profile;
architecture codesign;
balanced task assignments;
computational components;
consumer demands;
dynamically coarse grain reconfigurable architecture REMUS-II;
hierarchical memory interfaces;
hierarchical pipeline optimization;
high resolution multimedia decoding processor;
multimedia applications;
multimedia market;
multistandards multimedia decoding processor;
pipeline performance;
power efficient multimedia decoding processor;
real-time multimedia decoding processor;
reconfigurable multimedia system 2;
synchronization mechanisms;
video coding standards;
Computer architecture;
Decoding;
Kernel;
Multimedia communication;
Pipelines;
Synchronization;
Video coding;
AVS;
Coarse Grain Reconfigurable Architecture (CGRA);
H.264/AVC;
MPEG-2;
80.
A Platform-Specific Code Smell Alert System for High Performance Computing Applications
机译:
针对高性能计算应用的特定于平台的代码气味警报系统
作者:
Chunyan Wang
;
Hirasawa S.
;
Takizawa H.
;
Kobayashi H.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
XML;
computational linguistics;
parallel processing;
tree data structures;
AST;
HPC;
PSCS;
XML path language;
XPath;
abstract syntax tree;
high performance computing applications;
platform-specific code smell alert system;
Algorithm design and analysis;
Arrays;
Educational institutions;
Indexes;
Pattern matching;
Syntactics;
XML;
AST;
Code Smell Detection;
HPC;
XML representation;
81.
Service-Oriented Computing and Software Integration in Computing Curriculum
机译:
计算课程中的面向服务的计算和软件集成
作者:
Yinong Chen
;
Zhizheng Zhou
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cloud computing;
computer science education;
educational courses;
object-oriented programming;
parallel processing;
service-oriented architecture;
software tools;
Arizona State University;
SOA;
Web software development;
cloud computing;
computing curriculum;
computing science program;
distributed software development diagram;
lecture presentation slides;
object-oriented computing paradigm;
parallel distributed computing theories;
public accesses;
service-oriented architecture;
service-oriented computing;
software integration;
software tools;
textbooks;
Educational institutions;
Programming;
Robots;
Service-oriented architecture;
System-on-chip;
Robot as a Service;
Service-oriented architecture;
computing curriculum;
service repository;
software integration;
82.
SupMR: Circumventing Disk and Memory Bandwidth Bottlenecks for Scale-up MapReduce
机译:
SupMR:避免磁盘和内存带宽瓶颈,以扩大MapReduce
作者:
Sevilla M.
;
Nassi I.
;
Ioannidou K.
;
Brandt S.
;
Maltzahn C.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
data handling;
parallel processing;
disk bottlenecks;
ingest chunk pipeline;
memory bandwidth bottlenecks;
merge bottlenecks;
merge optimization;
scale-up MapReduce model;
Aggregates;
Computational modeling;
Containers;
Instruction sets;
Merging;
Pipelines;
Runtime;
applications;
architectures;
distributed applications;
distributed systems;
performance measurements;
83.
ABC2: Adaptively Balancing Computation and Communication in a DSM Cluster of Multicores for Irregular Applications
机译:
ABC2:用于不规则应用的多核DSM集群中的自适应平衡计算和通信
作者:
Charan Koduru S.
;
Vora K.
;
Gupta R.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
distributed shared memory systems;
multi-threading;
ABCsup2/sup;
DSM-based clusters;
adaptively balancing computation-and-communication;
asynchronous parallelism;
compute threads;
data level parallelism;
data replication;
distributed shared memory;
dynamic balancing;
fetch threads;
graph sizes;
graph-based applications;
irregular applications;
multicore machines;
object prefetch;
object-stores;
optimized configurations;
queue length update;
runtime algorithm;
runtime information;
speculative parallelism;
update threads;
Adaptation models;
Computational modeling;
Multicore processing;
Parallel processing;
Prefetching;
Runtime;
Asynchronous Parallelism;
Clusters;
Distributed Shared Memory;
Dynamic Adaptive Model;
Runtime Monitoring;
Speculative Parallelism;
84.
HiCOMB Keynote and Invited Talks
机译:
HiCOMB主题演讲和特邀演讲
作者:
Larson S.
;
Catalyurek U.V.
;
Kalyanaraman A.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
bioinformatics;
genomics;
graph theory;
graphics processing units;
public domain software;
GPU;
OpenWorm;
computational metagenomics;
genome search;
graph algorithms;
model organism;
open source biological computing;
Bioinformatics;
Biological system modeling;
Genomics;
Graphics processing units;
Indexes;
Organisms;
85.
Teaching HDFS/MapReduce Systems Concepts to Undergraduates
机译:
向本科生教授HDFS / MapReduce系统概念
作者:
Ngo Linh Bao
;
Duffy Edward B.
;
Apon Amy W.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Educational institutions;
Java;
Linux;
Materials;
Programming;
Supercomputers;
HDFS;
MapReduce;
distributed systems;
parallel computing education;
86.
HATI: Hardware Assisted Thread Isolation for Concurrent C/C++ Programs
机译:
HATI:并行C / C ++程序的硬件辅助线程隔离
作者:
Martinez Santos J.C.
;
Yunsi Fei
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
C++ language;
client-server systems;
concurrency control;
data privacy;
multi-threading;
HATI;
client-server applications;
concurrent C-C++ programs;
data security;
dynamic memory usage;
hardware assisted thread isolation approach;
multi-threaded programs;
performance degradation;
private data;
run-time validation time;
Context;
Hardware;
Instruction sets;
Memory management;
Switches;
System-on-chip;
secure execution;
thread isolation;
87.
Dymaxion++: A Directive-Based API to Optimize Data Layout and Memory Mapping for Heterogeneous Systems
机译:
Dymaxion ++:一种基于指令的API,用于优化异构系统的数据布局和内存映射
作者:
Shuai Che
;
Jiayuan Meng
;
Skadron K.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
application program interfaces;
data structures;
distributed processing;
electronic data interchange;
graphics processing units;
CPU;
Dymaxion++;
GPU hybrid memory spaces;
GPU scratchpad;
clean abstraction;
data exchange;
data layout optimization;
data structure layouts;
data structures;
device memory;
device-specific strategies;
directive-based API;
heterogeneous computing;
heterogeneous systems;
memory access optimizations;
memory access patterns;
memory accesses;
memory mappings;
source-to-source code translator;
system memory;
Arrays;
Graphics processing units;
Instruction sets;
Kernel;
Layout;
Programming;
88.
Kd-Tree Based N-Body Simulations with Volume-Mass Heuristic on the GPU
机译:
在GPU上基于Kd-Tree的具有体积质量启发式的N体仿真
作者:
Kofler K.
;
Steinhauser D.
;
Cosenza B.
;
Grasso I.
;
Schindler S.
;
Fahringer T.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
graphics processing units;
mathematics computing;
numerical analysis;
trees (mathematics);
GADGET-2 approach;
GPU;
Kd-tree based N-body simulations;
brute-force methods;
computational complexity;
data structures;
graphics processing unit;
numerical simulation;
parallel Kd-tree building algorithm;
volume-mass heuristic;
Accuracy;
Buildings;
Computational modeling;
Data structures;
Force;
Graphics processing units;
Parallel processing;
GPGPU;
Kd-tree;
N-body;
89.
ParLearning Introduction and Committees
机译:
ParLearning简介和委员会
作者:
Vishnu Abhinav
;
Xia Yinglong
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Artificial intelligence;
Complexity theory;
Computer architecture;
Conferences;
Distributed computing;
Educational institutions;
Laboratories;
90.
Graph-Based Cellular Automata Approach to Maximum Lifetime Coverage Problem in Wireless Sensor Networks
机译:
无线传感器网络中基于图的元胞自动机解决最大生命周期覆盖问题
作者:
Tretyakova A.
;
Seredynski F.
;
Bouvry P.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cellular automata;
evolutionary computation;
graph theory;
wireless sensor networks;
MLCP;
WSN;
centralized evolutionary algorithms;
coverage ratio;
graph-based cellular automata approach;
maximum lifetime coverage problem;
novel localized algorithm;
prolonging lifetime;
wireless sensor networks;
Automata;
Batteries;
Capacitive sensors;
Learning automata;
Switches;
Wireless sensor networks;
Coverage control;
genetic algorithms;
maximum lifetime coverage problem;
91.
Higher Dimensional Gaussian Networks
机译:
高维高斯网络
作者:
Shamaei Arash
;
Bose Bella
;
Flahive Mary
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Artificial neural networks;
Computers;
Educational institutions;
Multiprocessor interconnection;
Network topology;
Routing;
Topology;
Gaussian integers;
interconnection network;
routing;
92.
EA: Research-Infused Teaching of Parallel Programming Concepts for Undergraduate Software Engineering Students
机译:
EA:面向软件工程专业本科生的并行编程概念的研究型教学
作者:
Giacaman N.
;
Sinnen O.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
computer science education;
parallel programming;
software engineering;
teaching;
parallel programming concepts;
research-infused teaching approach;
research-tutored teaching approaches;
student-driven research-based approaches;
undergraduate software engineering students;
Androids;
Education;
Humanoid robots;
Java;
Parallel programming;
Software;
Software engineering;
Teaching;
learning;
parallel programming;
research teaching nexus;
93.
Evaluating GPU Passthrough in Xen for High Performance Cloud Computing
机译:
评估Xen中的GPU Passthrough以实现高性能云计算
作者:
Younge A.J.
;
Walters J.P.
;
Crago S.
;
Fox G.C.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cloud computing;
graphics processing units;
natural sciences computing;
parallel processing;
virtual machines;
virtualisation;
GPGPUs;
GPU passthrough evaluation;
GPU-enabled virtual machines;
HPC resources;
HPC-centric Nvidia GPUs;
IaaS;
Nvidia Tesla GPUs;
PCI passthrough;
Xen VMs;
Xen hypervisor;
data-intensive applications;
general-purpose graphics processing units;
hardware-assisted I/O virtualization;
high performance cloud computing;
high performance cloud infrastructure;
high performance computing;
infrastructure-as-a-service;
performance measurement;
scientific computation;
scientific computing community;
scientific computing workflows;
supercomputing;
technical computing needs;
Benchmark testing;
Cloud computing;
Graphics processing units;
Hardware;
Performance evaluation;
Virtual machine monitors;
Virtualization;
Cloud computing;
GPUs;
HPC;
IaaS;
Scientific Computing;
94.
Position Paper: Leveraging Strength-Based Dynamic Slicing to Identify Control Reconvergence Instructions
机译:
立场文件:利用基于强度的动态切片来识别控制收敛指令
作者:
Ghandour W.J.
;
Ghandour N.J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
multi-threading;
parallel programming;
program slicing;
ILP;
conditional branch instructions;
control flow instruction;
control reconvergence instructions;
disjoint out-of-order execution SpMT architecture;
fork-on-call technique;
instruction level parallelism;
multiple-proc-fork technique;
reconvergence point;
speculative multithreading architecture;
strength-based dynamic slicing;
Heuristic algorithms;
IP networks;
Multithreading;
Out of order;
Prediction algorithms;
Process control;
computer architecture;
control independence;
correlation;
dynamic slicing;
information flow strength;
instruction level parallelism;
program dependence analysis;
95.
Reducing Static and Dynamic Power of L1 Data Caches in GPGPUs
机译:
减少GPGPU中L1数据缓存的静态和动态功耗
作者:
Atoofian E.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
cache storage;
electronic engineering computing;
graphics processing units;
optimisation;
GPGPU;
L1 data caches;
active mask;
dynamic power;
leakage power;
optimization technique;
power consumption;
static power;
Benchmark testing;
Delays;
Electric breakdown;
Graphics processing units;
Instruction sets;
Optimization;
GPGPU;
CUDA;
Memory hierarchy;
Cache;
Power;
96.
A Parallel Large Neighborhood Search-Based Heuristic for the Disjunctively Constrained Knapsack Problem
机译:
基于并行大邻域搜索的启发式解背包问题
作者:
Hifi M.
;
Negre S.
;
Saadi T.
;
Saleh S.
;
Lei Wu
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
knapsack problems;
mathematics computing;
message passing;
parallel programming;
search problems;
DCKP;
MPI;
disjunctively constrained knapsack problem;
message passing interface;
neighborhood search-based heuristic;
parallel programming;
Heuristic algorithms;
Message passing;
Parallel algorithms;
Parallel programming;
Program processors;
Runtime;
Search problems;
Heuristic;
knapsack;
large neighborhood;
parallel algorithms;
97.
Memory-Aware List Scheduling for Hybrid Platforms
机译:
混合平台的内存感知列表调度
作者:
Herrmann J.
;
Marchal L.
;
Robert Y.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
graph theory;
integer programming;
linear programming;
multiprocessing systems;
processor scheduling;
FPGA;
GPU;
MinMin;
accelerator;
computation requirement;
dual-memory cluster;
heterogeneous resources;
heuristics HEFT;
hybrid platforms;
integer linear program formulation;
memory-aware heuristics;
memory-aware list scheduling;
multicores;
optimization objective;
scheduling problem;
small-size graphs;
tasks graphs schedule;
Computational modeling;
Memory management;
Optimal scheduling;
Processor scheduling;
Schedules;
Scheduling;
HEFT;
algorithm;
hybrid platforms;
list scheduling;
memory;
98.
Fast Generation of Large Task Network Mappings
机译:
快速生成大型任务网络映射
作者:
Berger Karl-Eduard
;
Galea Francois
;
Cun Bertrand Le
;
Sirdey Renaud
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
Context;
Logic gates;
Network topology;
Optimization;
Partitioning algorithms;
Program processors;
Topology;
heuristics;
manycore execution optimization;
process network mapping;
99.
Parallel Bayesian Network Modelling for Pervasive Health Monitoring System
机译:
普适健康监测系统的并行贝叶斯网络建模
作者:
Xiujuan Qian
;
Yongli Wang
;
Xiaohui Jiang
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
belief networks;
cardiology;
data analysis;
medical information systems;
optimisation;
patient monitoring;
HD diagnosis model;
data analysis;
heart disease analysis;
medical information system;
parallel Bayesian network modelling;
parallel optimization technique;
pervasive health monitoring system;
Bayes methods;
Data models;
Diseases;
Heart;
Medical diagnostic imaging;
Monitoring;
Mutual information;
Bayesian Networks;
Heart Disease Analysis;
Parallel Optimization;
100.
Minimizing Scrubbing Effort through Automatic Netlist Partitioning and Floorplanning
机译:
通过自动网表分区和布局规划最大程度地减少了清理工作
作者:
Schmidt B.
;
Ziener D.
;
Teich J.
会议名称:
《IEEE International Parallel Distributed Processing Symposium》
|
2014年
关键词:
checkpointing;
circuit layout;
field programmable gate arrays;
minimisation;
network analysis;
radiation hardening (electronics);
FPGAs;
MTTR minimization;
SEU mitigation;
Xilinx SEM IP core;
automatic netlist partitioning;
bitstream;
checkpointing;
circuit analysis technique;
datapath-intensive circuits;
floorplanning;
mean-time-to-repair minimization;
optimization methodology;
scrubbing effort minimization;
single event upset mitigation;
Benchmark testing;
Field programmable gate arrays;
Maintenance engineering;
Registers;
Routing;
Table lookup;
Tunneling magnetoresistance;
意见反馈
回到顶部
回到首页