掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Photomask Technology; 20060919-22; Monterey,CA(US)
Conference on Photomask Technology; 20060919-22; Monterey,CA(US)
召开年:
2006
召开地:
Monterey,CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A Cost Model Comparing Image Qualification and Direct Mask Inspection
机译:
比较图像质量和直接遮罩检查的成本模型
作者:
Kaustuve Bhattacharyya
;
Viral Hazari
;
Doug Sutherl
;
Tatsuhiko Higashiki
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
inspection;
cost;
STARlight;
mask defects;
crystal growth;
progressive;
lithography;
2.
A new algorithm for SEM critical dimension measurements for differentiating between lines and spaces in dense line/space patterns without tone dependence
机译:
SEM临界尺寸测量的新算法,用于区分密集线/间隔图案中的线和间隔,而无需色调
作者:
J. Matsumoto
;
Y. Ogiso
;
M Sekine
;
T. Iwai
;
J. Whittey
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
tone reverse;
new algorithm;
memory;
line and space;
photomask;
SEM critical dimension;
3.
Illumination Optimization for 65nm technology node
机译:
65nm技术节点的照明优化
作者:
Ching-Heng Wang
;
Qingwei Liu
;
Liguo Zhang
;
Chi-Yuan Hung
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
illumination optimization (ILO);
optical proximity correction (OPC);
process window;
depth of focus;
resolution enhancement technique (RET);
4.
Introduction of a Die-to-Database Verification Tool for Mask Geometry NGR4000
机译:
引入用于遮罩几何图形的模具到数据库验证工具NGR4000
作者:
Michael J. Hoffman
;
Tadashi Kitamura
;
Kazufumi Kubota
;
Toshiaki Hasebe
;
Shinichi Nakazawa
;
Toshifumi Tokumoto
;
Masatoshi Tsuneoka
;
Masahiro Yamamoto
;
Masahiro Inoue
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
die-to-database;
large scale image;
large field of view;
unique and negative patterns;
distortion correction;
contour of image of mask geometry;
pattern fidelity;
5.
Load balancing using DP management server for commercial MDP software
机译:
使用用于商业MDP软件的DP管理服务器进行负载平衡
作者:
Jong-Won Kim
;
Won-Tai Ki
;
Sung-Hoon Jang Ji-Hyun Choi
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
distributed computing;
mask data preparation;
load balancing;
6.
Minimizing yield loss risks through post-OPC verification
机译:
通过OPC后验证将产量损失风险降至最低
作者:
Ching-Heng Wang
;
Qingwei Liu
;
Liguo Zhang
;
Gen-Sheng Gao
;
Travis E Brist
;
Tom Donnelly
;
Shumay Shang
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
verification;
OPC;
RET;
dense simulation;
7.
No-Forbidden-Pitch SRAF Rules for Advanced Contact Lithography
机译:
高级接触光刻的无节距SRAF规则
作者:
Ching-HengWang
;
Qingwei Liu
;
Liguo Zhang
;
Chi-Yuan Hung
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
off-axis illumination (OAI);
sub resolution assistant feature (SRAF);
optical proximity correction (OPC);
forbidden pitch;
conventional sub-resolution assistant feature (CAF);
diagonal sub-resolution assistant feature (DAF);
8.
The Effect between Mask Blank Flatness and Wafer Print Process Window in ArF 6 Att. PSM Mask
机译:
ArF 6%Att中的掩模毛坯平整度和晶圆印刷工艺窗口之间的影响。 PSM面膜
作者:
Joseph Tzeng
;
Booky Lee
;
Jerry Lu
;
Makoto Kozuma
;
Noah Chen
;
Wen Kuang Lin
;
Army Chung
;
Yow Choung Houng
;
Chi Hung Wei
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask;
mask flatness;
mask blank;
process window;
ArF;
wafer print;
9.
A Cost Model Comparing Image Qualification and Direct Mask Inspection
机译:
比较图像质量和直接遮罩检查的成本模型
作者:
Kaustuve Bhattacharyya
;
Viral Hazari
;
Doug Sutherland
;
Tatsuhiko Higashiki
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
inspection;
cost;
STARlight;
mask defects;
crystal growth;
progressive;
lithography;
10.
Minimizing yield loss risks through post-OPC verification
机译:
通过OPC后验证将产量损失风险降至最低
作者:
Ching-Heng Wang
;
Qingwei Liu
;
Liguo Zhang
;
Gen-Sheng Gao
;
Travis E Brist
;
Tom Donnelly
;
Shumay Shang
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
verification;
OPC;
RET;
dense simulation;
11.
Load balancing using DP management server for commercial MDP software
机译:
使用用于商业MDP软件的DP管理服务器进行负载平衡
作者:
Jong-Won Kim
;
Won-Tai Ki
;
Sung-Hoon Jang Ji-Hyun Choi
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
distributed computing;
mask data preparation;
load balancing;
12.
The Effect between Mask Blank Flatness and Wafer Print Process Window in ArF 6 Att. PSM Mask
机译:
ArF 6%Att中的掩模毛坯平整度和晶圆印刷工艺窗口之间的影响。 PSM面膜
作者:
Joseph Tzeng
;
Booky Lee
;
Jerry Lu
;
Makoto Kozuma
;
Noah Chen
;
Wen Kuang Lin
;
Army Chung
;
Yow Choung Houng
;
Chi Hung Wei
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask;
mask flatness;
mask blank;
process window;
ArF;
wafer print;
13.
Introduction of a Die-to-Database Verification Tool for Mask Geometry NGR4000
机译:
引入用于遮罩几何图形的模具到数据库验证工具NGR4000
作者:
Michael J. Hoffman
;
Tadashi Kitamura
;
Kazufumi Kubota
;
Toshiaki Hasebe
;
Shinichi Nakazawa
;
Toshifumi Tokumoto
;
Masatoshi Tsuneoka
;
Masahiro Yamamoto
;
Masahiro Inoue
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
die-to-database;
large scale image;
large field of view;
unique and negative patterns;
distortion correction;
contour of image of mask geometry;
pattern fidelity;
14.
A new algorithm for SEM critical dimension measurements for differentiating between lines and spaces in dense line/space patterns without tone dependence
机译:
SEM临界尺寸测量的新算法,用于区分密集线/间隔图案中的线和间隔,而无需色调
作者:
J. Matsumoto
;
Y. Ogiso
;
M Sekine
;
T. Iwai
;
J. Whittey
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
tone reverse;
new algorithm;
memory;
line and space;
photomask;
SEM critical dimension;
15.
No-Forbidden-Pitch SRAF Rules for Advanced Contact Lithography
机译:
高级接触光刻的无节距SRAF规则
作者:
Ching-HengWang
;
Qingwei Liu
;
Liguo Zhang
;
Chi-Yuan Hung
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
off-axis illumination (OAI);
sub resolution assistant feature (SRAF);
optical proximity correction (OPC);
forbidden pitch;
conventional sub-resolution assistant feature (CAF);
diagonal sub-resolution assistant feature (DAF);
16.
Illumination Optimization for 65nm technology node
机译:
65nm技术节点的照明优化
作者:
Ching-Heng Wang
;
Qingwei Liu
;
Liguo Zhang
;
Chi-Yuan Hung
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
illumination optimization (ILO);
optical proximity correction (OPC);
process window;
depth of focus;
resolution enhancement technique (RET);
17.
An effective layout optimization method via LFD concept
机译:
通过LFD概念的有效布局优化方法
作者:
Ching-Heng Wang
;
Zexi Deng
;
Gensheng Gao
;
Chi-Yuan Hung
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
optical proximity correct (OPC);
litho friendly design (LFD);
DRC;
DFM;
process window model;
18.
Automated Mask Qualification with new CD Metrology in CATS™ environment
机译:
在CATS™环境中使用新的CD计量学自动进行掩模认证
作者:
Herman Boerl
;
Ronald J Lesnick Jr
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
metrology;
CD SEM;
marking;
automation;
CATS;
19.
Deep sub-wavelength mask assist features and mask errors printability in high NA lithography
机译:
高NA光刻中的深亚波长掩模辅助功能和掩模错误可印刷性
作者:
Wen-Hao Cheng
;
Mindy Lee
;
Vikram Tolani
;
Mark Nakahma
;
Bob Gleason
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
ArF immersion lithography;
polarization;
SRAF;
mask defect;
OPC;
printability;
FDTD simulation;
20.
Development of EUVL mask blank in AGC
机译:
在AGC中开发EUVL面膜毛坯
作者:
Takashi Sugiyama
;
Hiroshi Kojima
;
Masabumi Ito
;
Kouji Otsuka
;
Mika Yokoyama
;
Masaki Mikami
;
Kazuyuki Hayashi
;
Katsuhiro Matsumoto
;
Shinya Kikugawa
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV;
extreme ultraviolet lithography;
mask blank;
low-defect deposition;
21.
Interaction forces on mask surfaces relevant to EUV lithography
机译:
与EUV光刻相关的掩模表面上的相互作用力
作者:
R. Huebner
;
S. Eichenlaub
;
A. Rastegar
;
R. Geer
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV lithography;
mask substrate;
mask blank;
defect particle;
cleaning;
atomic force microscopy;
interaction force;
adhesion;
DLVO theory;
22.
Poly-silicon Gate and Poly-silicon Wire CD/EPE defect Detection and Classification through Process Window
机译:
多晶硅栅和多晶硅线CD / EPE缺陷通过处理窗口进行检测和分类
作者:
Scott rews
;
William Volk
;
Bo Su
;
Hong Du
;
Bhavaniprasad Kumar
;
Ramanamurthy Pulusuri
;
Abhishek Vikram
;
Xiaochun Li
;
Shaoyun Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
23.
Process development for EUV mask production
机译:
EUV掩模生产的工艺开发
作者:
Tsukasa Abe
;
Akiko Fujii
;
Shiho Sasaki
;
Hiroshi Mohri
;
Naoya Hayashi
;
Tsutomu Shoki
;
Takeyuki Yamada
;
Osamu Nozawa
;
Ryo Ohkubo
;
Masao Ushida
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV lithography;
mask;
blank;
inspection;
repair;
24.
Study of Chrome-less Mask Quartz Defect Detection Capability for 80nm Post Structure
机译:
80nm柱结构无铬掩模石英缺陷检测能力的研究
作者:
Jerry Lu
;
Boster Wang
;
Frank F. Chen
;
Orion Wang
;
Jomarch Chou
;
Orson Lin
;
Jackie Cheng
;
Ellison Chen
;
Paul Yu
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
chrome-less mask;
half pitch;
TeraScan;
TeraPhase;
Die-to-Die;
programming defect;
AIMS Fab-193;
25.
Thermal modeling of Photomask precision baking system
机译:
光掩模精密烘烤系统的热模型
作者:
Koji Matsubara
;
Mutsuo Kobayashi
;
Simon Rack
;
Shinsuke Miyazaki
;
Toshiya Ikeda
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
26.
Study of Chrome-less Mask Quartz Defect Detection Capability for 80nm Post Structure
机译:
80nm柱结构无铬掩模石英缺陷检测能力的研究
作者:
Jerry Lu
;
Boster Wang
;
Frank F. Chen
;
Orion Wang
;
Jomarch Chou
;
Orson Lin
;
Jackie Cheng
;
Ellison Chen
;
Paul Yu
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
chrome-less mask;
half pitch;
TeraScan;
TeraPhase;
Die-to-Die;
programming defect;
AIMS Fab-193;
27.
Deep sub-wavelength mask assist features and mask errors printability in high NA lithography
机译:
高NA光刻中的深亚波长掩模辅助功能和掩模错误可印刷性
作者:
Wen-Hao Cheng
;
Mindy Lee
;
Vikram Tolani
;
Mark Nakahma
;
Bob Gleason
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
ArF immersion lithography;
polarization;
SRAF;
mask defect;
OPC;
printability;
FDTD simulation;
28.
Development of EUVL mask blank in AGC
机译:
在AGC中开发EUVL面膜毛坯
作者:
Takashi Sugiyama
;
Hiroshi Kojima
;
Masabumi Ito
;
Kouji Otsuka
;
Mika Yokoyama
;
Masaki Mikami
;
Kazuyuki Hayashi
;
Katsuhiro Matsumoto
;
Shinya Kikugawa
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV;
extreme ultraviolet lithography;
mask blank;
low-defect deposition;
29.
An effective layout optimization method via LFD concept
机译:
通过LFD概念的有效布局优化方法
作者:
Ching-Heng Wang
;
Zexi Deng
;
Gensheng Gao
;
Chi-Yuan Hung
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
optical proximity correct (OPC);
litho friendly design (LFD);
DRC;
DFM;
process window model;
30.
Poly-silicon Gate and Poly-silicon Wire CD/EPE defect Detection and Classification through Process Window
机译:
多晶硅栅和多晶硅线CD / EPE缺陷通过处理窗口进行检测和分类
作者:
Scott Andrews
;
William Volk
;
Bo Su
;
Hong Du
;
Bhavaniprasad Kumar
;
Ramanamurthy Pulusuri
;
Abhishek Vikram
;
Xiaochun Li
;
Shaoyun Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
31.
Interaction forces on mask surfaces relevant to EUV lithography
机译:
与EUV光刻相关的掩模表面上的相互作用力
作者:
R. Huebner
;
S. Eichenlaub
;
A. Rastegar
;
R. Geer
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV lithography;
mask substrate;
mask blank;
defect particle;
cleaning;
atomic force microscopy;
interaction force;
adhesion;
DLVO theory;
32.
Process development for EUV mask production
机译:
EUV掩模生产的工艺开发
作者:
Tsukasa Abe
;
Akiko Fujii
;
Shiho Sasaki
;
Hiroshi Mohri
;
Naoya Hayashi
;
Tsutomu Shoki
;
Takeyuki Yamada
;
Osamu Nozawa
;
Ryo Ohkubo
;
Masao Ushida
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV lithography;
mask;
blank;
inspection;
repair;
33.
Automated Mask Qualification with new CD Metrology in CATS™ environment
机译:
在CATS™环境中使用新的CD计量学自动进行掩模认证
作者:
Herman Boerland
;
Ronald J Lesnick Jr
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
metrology;
CD SEM;
marking;
automation;
CATS;
34.
Thermal modeling of Photomask precision baking system
机译:
光掩模精密烘烤系统的热模型
作者:
Koji Matsubara
;
Mutsuo Kobayashi
;
Simon Rack
;
Shinsuke Miyazaki
;
Toshiya Ikeda
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
35.
A novel Alt-PSM structure: isn't this an embedded Atten-PSM
机译:
一种新颖的Alt-PSM结构:这不是嵌入式Atten-PSM
作者:
S. Nakao
;
K. Hosono
;
S. Maejima
;
K. Narimatsu
;
T. Hanawa
;
K. Suko
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
alternating aperture phase shift mask;
embedded attenuating phase shift mask structure;
logic gate;
36.
A novel approach for hot-spot removal for sub-100nm manufacturing
机译:
用于100nm以下制造的热点去除的新方法
作者:
Melody Ma
;
Melissa erson
;
Weinong Lai
;
Clive Wu
;
Becky Tsao
;
Chih-wei Chu
;
Char Lin
;
Jacky Chou
;
Sidney Tsai
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
37.
Chrome Etch Solutions for 45 nm Beyond
机译:
适用于45纳米及以上的Chrome蚀刻解决方案
作者:
M.Chrachood
;
M. Grimbergen
;
I.Ibrahim
;
S. Panayil
;
A. Kumar
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
advanced mask;
binary mask;
chrome etch;
CD control;
mask etcher;
45 nm;
applied materials next generation mask etcher;
38.
Defining Defect Specifications to Optimize Photomask Production and Requalification
机译:
定义缺陷规范以优化光掩模的生产和重新认证
作者:
Peter Fiekowsky
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask inspection;
disposition;
defect specs;
simulation;
39.
Development of next generation mask inspection method by using the feature of mask image captured with 199 nm inspection optics
机译:
利用199 nm检测光学器件捕获的掩模图像特性开发下一代掩模检测方法
作者:
Yoshitake Tsuji
;
Nobutaka Kikuiri
;
Shingo Murakami
;
Kenichi Takahara
;
Ikunao Isomura
;
Yukio Tamura
;
Kyoji Yamashita
;
Ryoichi Hirano
;
Motonari Tateno
;
Kenichi Matsumura
;
Naohisa Takayama
;
Kinya Usuda
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask inspection;
die to database;
die to die;
phase shift mask;
tri-tone mask alternating PSM;
transmitted illumination;
reflected illumination;
40.
Experimental investigation of photomask with near-field polarization imaging
机译:
近场偏振成像光掩模的实验研究
作者:
Tao Chen
;
Tom D. Milster
;
Seung-Hune Yang
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
AltPSM;
near-field;
polarization;
solid immersion lens (SIL);
high resolution;
topographical image;
41.
Incoming Database Verification and Management for Mask Data Preparation
机译:
传入数据库验证和管理以进行掩码数据准备
作者:
Frank F. Chen
;
Casper W. Lee
;
Jason C. Lin
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask data prep (MDP);
incoming database pre-check system (IDPCS). queue manager system (QM);
42.
Model Based Lithography Verification Using the New Manufacturing Sensitivity Model
机译:
使用新的制造敏感性模型的基于模型的光刻验证
作者:
Daniel Zhang
;
Lawrence Melvin
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
lithography rule check;
manufacturing sensitivity model;
resolution enhancement technology (RET);
hotspot detection;
43.
More evolved PGSD (Proximity Gap Suction Developer) for controlling movement of dissolution products
机译:
更加先进的PGSD(接近间隙抽吸开发器),用于控制溶出产物的运动
作者:
Hideaki Sakurai
;
Yukio Oppata
;
Koji Murano
;
Mari Sakai
;
Masamitsu Itoh
;
Hidehiro Watanabe
;
Hideo Funakoshi
;
Kotaro Ooishi
;
Yoshiki Okamoto
;
Masatoshi Kaneda
;
Shigenori Kamei
;
Naoya Hayashi
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
44.
Multi-layer resist system for 45 nm node and beyond (Ⅱ)
机译:
适用于45 nm及以上节点的多层抗蚀剂系统(Ⅱ)
作者:
Yukihiro Fujimura
;
Jumpei Morimoto
;
Asuka Manoshiro
;
Mochihiro Shimizu
;
Hideyoshi Takamizawa
;
Masahiro Hashimoto
;
Hiroshi Shiratori
;
Katsuhiko Horii
;
Yasunori Yokoya
;
Yasushi Ohkubo
;
Tomoyuki Enomoto
;
Takahiro Sakaguchi
;
Masaki Nagai
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
45nm-node;
photomask;
reticle;
multi-layer resist;
dry-etch;
BIL;
hard mask;
DRECE(dry-etching resistance enhancement bottom-coating for Eb);
45.
Non-chemical cleaning technology for sub-90nm design node photomask manufacturing
机译:
用于90nm以下设计节点光掩模制造的非化学清洗技术
作者:
Star Hoyeh
;
Richard Chen
;
Makoto Kozuma
;
Joann Kuo
;
Torey Huang
;
Frank F. Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
clean;
HAZE;
193nm;
phase shift mask;
ozone water;
hydrogen water;
plasma;
SPM;
APM;
ion chromatography;
chemical residue;
46.
Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA
机译:
宽带透射光谱结合RCWA进行相移掩模临界尺寸测量的新技术
作者:
Alexer Gray
;
John C. Lam
;
Stanley Chen
会议名称:
《》
|
2006年
关键词:
optical metrology;
transmittance measurements;
critical dimensions;
broadband reflectometry;
forouhi-bloomer dispersion equations;
RCWA;
CD linearity;
repeatability;
47.
On objectives and algorithms of inverse methods in microlithography
机译:
关于微光刻反方法的目标和算法
作者:
Yuri Granik
;
Kyohei Sakajiri
;
Shumay Shang
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
48.
OPC to account for thick mask effect using simplified boundary layer model
机译:
OPC使用简化的边界层模型解决厚掩模效应
作者:
Sangwook Kim
;
Young-Chang Kim
;
Sungsoo Suh
;
Sook Lee
;
Sungwoo Lee
;
Sukjoo Lee
;
Hanku Cho
;
Jootae Moon
;
Jonathan Cobb
;
Sooryong Lee
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
3D mask effect;
mask topography;
boundary layer model;
OPC;
49.
Parallel processing of layout data with selective data distribution
机译:
通过选择性数据分布并行处理布局数据
作者:
Mark Pereira
;
Nitin Bhat
;
Preethi Srinivas
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
50.
Quartz Etch Solutions for 45 nm Phase-Shift Masks
机译:
适用于45 nm相移掩模的石英蚀刻解决方案
作者:
M. Chrachood
;
M. Grimbergen
;
T. Y. B. Leung
;
S. Panayil
;
I. Ibrahim
;
A. Kumar
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
chromeless;
CPL;
dry etch;
etch rate uniformity;
mask etch;
phase shift;
phase shifting masks (PSM);
quartz etch;
RIE lag;
51.
Revisiting Mask Contact Hole Measurements
机译:
再谈掩模接触孔的测量
作者:
Masaru Higuchi
;
Emily Gallagher
;
Daniel Ceperley
;
Timothy Brunner
;
Reg Bowley
;
Anne McGuire
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
52.
Rigorous FEM-Simulation of EUV-Masks: Influence of Shape and Material Parameters
机译:
EUV面罩的严格有限元模拟:形状和材料参数的影响
作者:
Jan Pomplun
;
Sven Burger
;
Frank Schmidt
;
Lin Zschiedrich
;
Frank Scholze
;
Christian Laubis
;
Uwe Dersch
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV;
mask;
simulation;
photolithography;
FEM;
53.
Rigorous Simulation of 3D Masks
机译:
严格模拟3D蒙版
作者:
Sven Burger
;
Roderick Koehle
;
Lin Zschiedrich
;
Hoa Nguyen
;
Frank Schmidt
;
Reinhard Maerz
;
Christoph Noelscher
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
photomask;
microlithography;
simulation;
finite element method;
FEM;
54.
Self-aligned Resist Patterning by Backside Flood Exposure in Photomask
机译:
通过光掩膜的背面洪水曝光进行自对准抗蚀剂构图
作者:
Taejoong Ha
;
Byunggu Gyun
;
Oscar Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
self-aligned resist patterning;
backside flood exposure;
photomask;
transmittance;
KrF;
alignment;
55.
The effect of Sub layer condition on the OPC model
机译:
子层条件对OPC模型的影响
作者:
Jaeyoung. Choi
;
Jaehyun Kang
;
Yeonah Shim
;
Kyunghee Yun
;
Junseok Lee
;
Yongseok Lee
;
Keeho Kim
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
OPC (optical proximity correction);
lithography;
sub-layer;
56.
Development of next generation mask inspection method by using the feature of mask image captured with 199 nm inspection optics
机译:
利用199 nm检测光学器件捕获的掩模图像特性开发下一代掩模检测方法
作者:
Yoshitake Tsuji
;
Nobutaka Kikuiri
;
Shingo Murakami
;
Kenichi Takahara
;
Ikunao Isomura
;
Yukio Tamura
;
Kyoji Yamashita
;
Ryoichi Hirano
;
Motonari Tateno
;
Kenichi Matsumura
;
Naohisa Takayama
;
Kinya Usuda
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask inspection;
die to database;
die to die;
phase shift mask;
tri-tone mask alternating PSM;
transmitted illumination;
reflected illumination;
57.
The effect of Sub layer condition on the OPC model
机译:
子层条件对OPC模型的影响
作者:
Jaeyoung. Choi
;
Jaehyun Kang
;
Yeonah Shim
;
Kyunghee Yun
;
Junseok Lee
;
Yongseok Lee
;
Keeho Kim
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
OPC (optical proximity correction);
lithography;
sub-layer;
58.
Model Based Lithography Verification Using the New Manufacturing Sensitivity Model
机译:
使用新的制造敏感性模型的基于模型的光刻验证
作者:
Daniel Zhang
;
Lawrence Melvin
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
lithography rule check;
manufacturing sensitivity model;
resolution enhancement technology (RET);
hotspot detection;
59.
Incoming Database Verification and Management for Mask Data Preparation
机译:
传入数据库验证和管理以进行掩码数据准备
作者:
Frank F. Chen
;
Casper W. Lee
;
Jason C. Lin
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask data prep (MDP);
incoming database pre-check system (IDPCS). queue manager system (QM);
60.
Parallel processing of layout data with selective data distribution
机译:
通过选择性数据分布并行处理布局数据
作者:
Mark Pereira
;
Nitin Bhat
;
Preethi Srinivas
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
61.
Rigorous Simulation of 3D Masks
机译:
严格模拟3D蒙版
作者:
Sven Burger
;
Roderick Koehle
;
Lin Zschiedrich
;
Hoa Nguyen
;
Frank Schmidt
;
Reinhard Maerz
;
Christoph Noelscher
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
photomask;
microlithography;
simulation;
finite element method;
FEM;
62.
Experimental investigation of photomask with near-field polarization imaging
机译:
近场偏振成像光掩模的实验研究
作者:
Tao Chen
;
Tom D. Milster
;
Seung-Hune Yang
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
AltPSM;
near-field;
polarization;
solid immersion lens (SIL);
high resolution;
topographical image;
63.
A novel approach for hot-spot removal for sub-100nm manufacturing
机译:
用于100nm以下制造的热点去除的新方法
作者:
Melody Ma
;
Melissa Anderson
;
Weinong Lai
;
Clive Wu
;
Becky Tsao
;
Chih-wei Chu
;
Char Lin
;
Jacky Chou
;
Sidney Tsai
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
64.
A novel Alt-PSM structure: isn't this an embedded Atten-PSM
机译:
一种新颖的Alt-PSM结构:这不是嵌入式Atten-PSM
作者:
S. Nakao
;
K. Hosono
;
S. Maejima
;
K. Narimatsu
;
T. Hanawa
;
K. Suko
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
alternating aperture phase shift mask;
embedded attenuating phase shift mask structure;
logic gate;
65.
Non-chemical cleaning technology for sub-90nm design node photomask manufacturing
机译:
用于90nm以下设计节点光掩模制造的非化学清洗技术
作者:
Star Hoyeh
;
Richard Chen
;
Makoto Kozuma
;
Joann Kuo
;
Torey Huang
;
Frank F. Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
clean;
HAZE;
193nm;
phase shift mask;
ozone water;
hydrogen water;
plasma;
SPM;
APM;
ion chromatography;
chemical residue;
66.
Chrome Etch Solutions for 45 nm Beyond
机译:
适用于45纳米及以上的Chrome蚀刻解决方案
作者:
M.Chandrachood
;
M. Grimbergen
;
I.Ibrahim
;
S. Panayil
;
A. Kumar
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
advanced mask;
binary mask;
chrome etch;
CD control;
mask etcher;
45 nm;
applied materials next generation mask etcher;
67.
Quartz Etch Solutions for 45 nm Phase-Shift Masks
机译:
适用于45 nm相移掩模的石英蚀刻解决方案
作者:
M. Chandrachood
;
M. Grimbergen
;
T. Y. B. Leung
;
S. Panayil
;
I. Ibrahim
;
A. Kumar
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
chromeless;
CPL;
dry etch;
etch rate uniformity;
mask etch;
phase shift;
phase shifting masks (PSM);
quartz etch;
RIE lag;
68.
Rigorous FEM-Simulation of EUV-Masks: Influence of Shape and Material Parameters
机译:
EUV面罩的严格有限元模拟:形状和材料参数的影响
作者:
Jan Pomplun
;
Sven Burger
;
Frank Schmidt
;
Lin Zschiedrich
;
Frank Scholze
;
Christian Laubis
;
Uwe Dersch
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV;
mask;
simulation;
photolithography;
FEM;
69.
OPC to account for thick mask effect using simplified boundary layer model
机译:
OPC使用简化的边界层模型解决厚掩模效应
作者:
Sangwook Kim
;
Young-Chang Kim
;
Sungsoo Suh
;
Sook Lee
;
Sungwoo Lee
;
Sukjoo Lee
;
Hanku Cho
;
Jootae Moon
;
Jonathan Cobb
;
Sooryong Lee
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
3D mask effect;
mask topography;
boundary layer model;
OPC;
70.
Defining Defect Specifications to Optimize Photomask Production and Requalification
机译:
定义缺陷规范以优化光掩模的生产和重新认证
作者:
Peter Fiekowsky
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask inspection;
disposition;
defect specs;
simulation;
71.
Revisiting Mask Contact Hole Measurements
机译:
再谈掩模接触孔的测量
作者:
Masaru Higuchi
;
Emily Gallagher
;
Daniel Ceperley
;
Timothy Brunner
;
Reg Bowley
;
Anne McGuire
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
72.
Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA
机译:
宽带透射光谱结合RCWA进行相移掩模临界尺寸测量的新技术
作者:
Alexander Gray
;
John C. Lam
;
Stanley Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
optical metrology;
transmittance measurements;
critical dimensions;
broadband reflectometry;
forouhi-bloomer dispersion equations;
RCWA;
CD linearity;
repeatability;
73.
Self-aligned Resist Patterning by Backside Flood Exposure in Photomask
机译:
通过光掩膜的背面洪水曝光进行自对准抗蚀剂构图
作者:
Taejoong Ha
;
Byunggu Gyun
;
Oscar Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
self-aligned resist patterning;
backside flood exposure;
photomask;
transmittance;
KrF;
alignment;
74.
On objectives and algorithms of inverse methods in microlithography
机译:
关于微光刻反方法的目标和算法
作者:
Yuri Granik
;
Kyohei Sakajiri
;
Shumay Shang
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
75.
More evolved PGSD (Proximity Gap Suction Developer) for controlling movement of dissolution products
机译:
更加先进的PGSD(接近间隙抽吸开发器),用于控制溶出产物的运动
作者:
Hideaki Sakurai
;
Yukio Oppata
;
Koji Murano
;
Mari Sakai
;
Masamitsu Itoh
;
Hidehiro Watanabe
;
Hideo Funakoshi
;
Kotaro Ooishi
;
Yoshiki Okamoto
;
Masatoshi Kaneda
;
Shigenori Kamei
;
Naoya Hayashi
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
76.
Multi-layer resist system for 45 nm node and beyond (Ⅱ)
机译:
适用于45 nm及以上节点的多层抗蚀剂系统(Ⅱ)
作者:
Yukihiro Fujimura
;
Jumpei Morimoto
;
Asuka Manoshiro
;
Mochihiro Shimizu
;
Hideyoshi Takamizawa
;
Masahiro Hashimoto
;
Hiroshi Shiratori
;
Katsuhiko Horii
;
Yasunori Yokoya
;
Yasushi Ohkubo
;
Tomoyuki Enomoto
;
Takahiro Sakaguchi
;
Masaki Nagai
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
45nm-node;
photomask;
reticle;
multi-layer resist;
dry-etch;
BIL;
hard mask;
DRECE(dry-etching resistance enhancement bottom-coating for Eb);
77.
A new criterion of mask birefringence for polarized illumination
机译:
偏振照明掩模双折射的新判据
作者:
Kazuya Iwase
;
Boontarika Thunnakart
;
Tokihisa Kaneguchi
;
Ken Ozawa
;
Toshifumi Yokoyama
;
Yasutaka Morikawa
;
Fumikatsu Uesawa
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
immersion lithography;
polarized light imaging;
mask birefringence;
specification;
78.
Accounting for Lens aberrations in OPC model calibration
机译:
在OPC模型校准中考虑镜头像差
作者:
Laurent Depre
;
Christopher Cork
;
Martin Drapeau
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
79.
Beyond rule-based physical verification
机译:
超越基于规则的物理验证
作者:
Wolfgang Hoppe
;
Thomas Roessler
;
J. res Torres
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
DRC;
lithography simulation;
optical rule check;
litho friendly design;
80.
Cleaning of MoSi Multilayer Mask Blanks for EUVL
机译:
清洁EUVL的MoSi多层面膜毛坯
作者:
Vivek Kapila
;
Abbas Rastegar
;
Yoshiaki Ikuta
;
Sean Eichenlaub
;
Pat Marmillion
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUVL;
mask blank;
multilayer cleaning;
MoSi;
81.
CORRELATION BETWEEN OPC MODEL ACCURACY AND IMAGE PARAMETERS
机译:
OPC模型准确性与图像参数之间的相关性
作者:
Chidam Kallingal
;
Norman Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
82.
Dependency of EUV Mask Defects on Substrate Defects
机译:
EUV掩模缺陷对衬底缺陷的依赖性
作者:
Sean Eichenlaub
;
Abbas Rastegar
;
Vivek Kapila
;
Yoshiaki Ikuta
;
Pat Marmillion
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV blank;
defect;
surface condition;
cleaning;
83.
Inspectability and printability of lines and spaces halftone masks for the advanced DRAM node
机译:
适用于高级DRAM节点的线条和空格半色调掩模的可检查性和可打印性
作者:
Arndt C. Duerr
;
Karsten Gutjahr
;
Jan Heumann
;
Martin Stengl
;
Frank Katzwinkel
;
reas Frangen
;
Thomas Witte
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
AIMS;
mask inspection;
defect disposition;
aerial imaging;
defect printability;
optical imaging;
193nm lithography;
mask;
reticle;
84.
Mechanism of megasonic damages for micro patterns
机译:
微模式的超音速损伤机理
作者:
Y. Suwa
;
S. Shimada
;
A. Shigihara
;
H. Ishii
;
Y. Shoji
;
M. Otsuki
;
A. Naito S. Sasaki
;
H. Mohri
;
N. Hayashi
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
megasonic;
cleaning;
SRAF;
cavitation;
Gaussian distribution;
damage;
85.
Multi point CD measurement method to evaluate pattern fidelity and performance of mask
机译:
用于评估图案保真度和掩模性能的多点CD测量方法
作者:
Munsik Kim
;
Hyemi Lee
;
Kanjoon Seo
;
Dongwook Lee
;
Yongkyoo Choi
;
Sunghyun Oh
;
Oscar Han
会议名称:
《》
|
2006年
关键词:
HT PSM;
CD SEM;
diffusion filter;
CD uniformity;
fogging effect correction control;
86.
Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques
机译:
基材凹坑形核的多层缺陷:光化检测与非光化检测技术的比较
作者:
A. Barty
;
K. A. Goldberg
;
P. Kearney
;
S.B.Rekawa
;
B. LaFontaine
;
O. Wood II
;
J.S.Taylor
;
H.-S. Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV mask inspection;
EUV reticle;
programmed defects;
87.
Optimization of Development process using After Develop Inspection in Mask manufacturing
机译:
在掩模制造中使用显影后检查优化开发流程
作者:
Hyun Young Kim
;
Dae Ho Hwang
;
Sang Pyo Kim
;
Oscar Han
;
Ki Hun Park
;
Nam Wook Kim
;
David Kim
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
ADI;
AEI;
reflected light;
SLF;
post develop resist;
88.
Point Cleaning of Mask Blanks for Extreme Ultraviolet Lithography
机译:
极端紫外线光刻的面膜毛坯点清洗
作者:
Mike Brown
;
John Hartley
;
Sean Eichenlaub
;
Abbas Rastegar
;
Patricia Marmillion
;
Ken Roessler
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV lithography;
mask blanks;
mask cleaning;
mask repair;
89.
Propagation of resist heating mask error to wafer level
机译:
抗蚀剂加热掩模误差传播到晶圆级
作者:
S.V.Babin
;
Linard Karklin
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask manufacturing;
resist heating;
CD errors;
MEEF;
Lithography simulation;
90.
Qualitative analysis of haze defects
机译:
雾度缺陷的定性分析
作者:
Jaehyuck Choi
;
Soowan Koh
;
Sunghun Ji
;
Byung-Cheol Cha
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
time-dependent haze;
haze-free mask;
sulfate cleaning;
sulfate-free cleaning;
TOF-SIMS;
environmental contaminants;
91.
Reticle Carrier Material as ESD Protection
机译:
标线载体材料作为ESD保护
作者:
Dirk Helmholz
;
Michael Lering
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
ESD;
carrier;
reticle;
material properties;
CANARY™;
92.
The specification of the 45 nm node Photomask repair process
机译:
45 nm节点光掩模修复工艺规范
作者:
Moon Gyu Sung
;
Sungmin Huh
;
Byung Cheol Cha
;
Sungwoon Choi
;
Woosung Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
immersion lithography;
repair;
3D simulation;
DoE;
93.
CORRELATION BETWEEN OPC MODEL ACCURACY AND IMAGE PARAMETERS
机译:
OPC模型准确性与图像参数之间的相关性
作者:
Chidam Kallingal
;
Norman Chen
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
94.
Beyond rule-based physical verification
机译:
超越基于规则的物理验证
作者:
Wolfgang Hoppe
;
Thomas Roessler
;
J. Andres Torres
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
DRC;
lithography simulation;
optical rule check;
litho friendly design;
95.
Propagation of resist heating mask error to wafer level
机译:
抗蚀剂加热掩模误差传播到晶圆级
作者:
S.V.Babin
;
Linard Karklin
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
mask manufacturing;
resist heating;
CD errors;
MEEF;
Lithography simulation;
96.
A new criterion of mask birefringence for polarized illumination
机译:
偏振照明掩模双折射的新判据
作者:
Kazuya Iwase
;
Boontarika Thunnakart
;
Tokihisa Kaneguchi
;
Ken Ozawa
;
Toshifumi Yokoyama
;
Yasutaka Morikawa
;
Fumikatsu Uesawa
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
immersion lithography;
polarized light imaging;
mask birefringence;
specification;
97.
Reticle Carrier Material as ESD Protection
机译:
标线载体材料作为ESD保护
作者:
Dirk Helmholz
;
Michael Lering
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
ESD;
carrier;
reticle;
material properties;
CANARY™;
98.
Point Cleaning of Mask Blanks for Extreme Ultraviolet Lithography
机译:
极端紫外线光刻的面膜毛坯点清洗
作者:
Mike Brown
;
John Hartley
;
Sean Eichenlaub
;
Abbas Rastegar
;
Patricia Marmillion
;
Ken Roessler
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV lithography;
mask blanks;
mask cleaning;
mask repair;
99.
Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques
机译:
基材凹坑形核的多层缺陷:光化检测与非光化检测技术的比较
作者:
A. Barty
;
K. A. Goldberg
;
P. Kearney
;
S.B.Rekawa
;
B. LaFontaine
;
O. Wood II
;
J.S.Taylor
;
H.-S. Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
EUV mask inspection;
EUV reticle;
programmed defects;
100.
Qualitative analysis of haze defects
机译:
雾度缺陷的定性分析
作者:
Jaehyuck Choi
;
Soowan Koh
;
Sunghun Ji
;
Byung-Cheol Cha
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《Conference on Photomask Technology; 20060919-22; Monterey,CA(US)》
|
2006年
关键词:
time-dependent haze;
haze-free mask;
sulfate cleaning;
sulfate-free cleaning;
TOF-SIMS;
environmental contaminants;
意见反馈
回到顶部
回到首页