掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
计算机、自动化
>
Proceedings of the 46th Annual Design Automation Conference
Proceedings of the 46th Annual Design Automation Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
传感器世界
计算机应用文摘
上海信息化
工矿自动化
计算技术与自动化
控制理论与应用
互联网周刊
电脑知识与技术(数字社区与智能家居)
电脑知识与技术-经验技巧
软件导刊
更多>>
相关外文期刊
IEEE Transactions on Knowledge and Data Engineering
International Journal of High Performance Systems Architecture
Ada Letters
Computers & Chemistry
Cybernetics and Systems Analysis
Advances in Engineering Software
Journal of network and systems management
Systems & Network Management Journal
International journal of information and coding theory
Ergonomics
更多>>
相关中文会议
2011年亚太信息网络与数字内容安全会议(APCID2011)
'98全国自动化教育学术年会
华东六省一市自动化学会第21届学术年会——福建省科协第八届学术年会卫星会议
'01全国仿真技术学术会议
全国网络与信息安全技术研讨会'2004
第五届中国PICMG技术年会
第二十届中国控制会议
第九届中国语音学学术会议
第七届中国可信计算与信息安全学术会议
中国自动化学会第二届技术过程的故障诊断与安全性学术会议
更多>>
相关外文会议
Service-oriented computing-ICSOC 2011 workshops
Scale Space and Variational Methods in Computer Vision; Lecture Notes in Computer Science; 4485
International Workshop on Construction and Analysis of Safe, Secure, and Interoperable Smart Devices(CASSIS 2005); 20050308-11; Nice(FR)
Multispectral, hyperspectral, and ultraspectral remote sensing technology, techniques, and applications II
Advanced Environmental, Chemical, and Biological Sensing Technologies XII
Computer algebra in scientific computing
Trends in practical applications of agents and multiagent systems
Second International Workshop on Electronic Commerce WELCOM 2001, 2nd, Nov 16-17, 2001, Heidelberg, Germany
llth European Workshop on Knowledge Acquisition, Modeling and Management EKAW '99 Dagstuhl Castle, Germany, May 26-29, 1999
2018 IEEE 9th International Conference on Mechanical and Intelligent Manufacturing Technologies
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Resurrecting infeasible clock-gating functions
机译:
恢复不可行的时钟门控功能
作者:
Eli Arbel
;
Cindy Eisner
;
Oleg Rokhlenko
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
approximation;
clock gating;
clustering;
low power;
2.
Carbon nanotube circuits in the presence of carbon nanotube density variations
机译:
存在碳纳米管密度变化的碳纳米管电路
作者:
Jie Zhang
;
Nishant Patil
;
Arash Hazeghi
;
Subhasish Mitra
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
CNT;
CNT correlation;
CNT density variation;
carbon nanotube;
3.
Decoding nanowire arrays fabricated with the multi-spacer patterning technique
机译:
解码通过多间隔物图案化技术制造的纳米线阵列
作者:
M. Haykel Ben Jamaa
;
Yusuf Leblebici
;
Giovanni De Micheli
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
MSPT;
crossbar;
decoder;
emerging technologies;
nanowires;
spacer technique;
4.
NUDA
机译:
裸
作者:
Chi-Neng Wen
;
Shu-Hsuan Chou
;
Tien-Fu Chen
;
Alan Peisheng Su
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
architecture;
debugging;
many-core;
race detection;
5.
Efficient smart sampling based full-chip leakage analysis for intra-die variation considering state dependence
机译:
考虑状态相关性的基于智能采样的全芯片漏电流分析
作者:
Vineeth Veetil
;
Dennis Sylvester
;
David Blaauw
;
Saumil Shah
;
Steffen Rochel
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Monte Carlo;
statistical leakage;
variance reduction;
6.
A trace-capable instruction cache for cost efficient real-time program trace compression in SoC
机译:
具有跟踪功能的指令高速缓存,可在SoC中实现经济高效的实时程序跟踪压缩
作者:
Chun-Hung Lai
;
Fu-Ching Yang
;
Chung-Fu Kao
;
Ing-Jer Huang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
cache;
compression;
program trace;
real time;
7.
A stochastic jitter model for analyzing digital timing-recovery circuits
机译:
用于分析数字定时恢复电路的随机抖动模型
作者:
James R. Burnham
;
Chih-Kong Ken Yang
;
Haitham Hindi
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Markov chain;
bit-error-rate (BER);
delay-locked loop (DLL);
jitter;
mean-time-between-failures (MTBF);
stochastic model;
timing margins;
timing recovery circuits;
8.
Fast vectorless power grid verification using an approximate inverse technique
机译:
使用近似逆技术快速进行无矢量电网验证
作者:
Nahi H. Abdul Ghani
;
Farid N. Najm
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
approximate inverse;
power grid;
voltage drop;
9.
GPU friendly fast Poisson solver for structured power grid network analysis
机译:
GPU友好的快速泊松求解器,用于结构化电网网络分析
作者:
Jin Shi
;
Yici Cai
;
Wenting Hou
;
Liwei Ma
;
Sheldon X.-D. Tan
;
Pei-Hsin Ho
;
Xiaoyi Wang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
GPU;
P/G network;
fast Poisson solver;
10.
Serial reconfigurable mismatch-tolerant clock distribution
机译:
串行可重新配置的不匹配容错时钟分配
作者:
Atanu Chattopadhyay
;
Zeljko Zilic
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
clock networks;
clock skew;
process variation;
11.
Thermal-aware data flow analysis
机译:
热感知数据流分析
作者:
Jose L. Ayala
;
David Atienza
;
Philip Brisk
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
compiler;
thermal management;
12.
Nanoscale digital computation through percolation
机译:
通过渗滤的纳米级数字计算
作者:
Mustafa Altun
;
Marc D. Riedel
;
Claudia Neuhauser
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
logic synthesis;
nanoscale digital computation;
percolation;
13.
Yield-driven iterative robust circuit optimization algorithm
机译:
良率驱动的迭代鲁棒电路优化算法
作者:
Yan Li
;
Vladimir StojanoviC
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
analog circuits;
robust circuit optimization;
variability;
yield;
14.
Thermal-driven analog placement considering device matching
机译:
考虑器件匹配的热驱动模拟放置
作者:
Po-Hung Lin
;
Hongbo Zhang
;
Martin D. F. Wong
;
Yao-Wen Chang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
analog placement;
thermal matching;
15.
Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors
机译:
设计技术的体系结构评估,以提高嵌入式微处理器的速度和鲁棒性
作者:
Thomas Baumann
;
Doris Schmitt-Landsiedel
;
Christian Pacha
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
micro-architecture;
robustness;
variability-aware design;
16.
Exploring serial vertical interconnects for 3D ICs
机译:
探索用于3D IC的串行垂直互连
作者:
Sudeep Pasricha
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
3D ICs;
VLSI;
networks on chip;
serial interconnect;
17.
Register allocation for high-level synthesis using dual supply voltages
机译:
使用双电源电压进行高级综合的寄存器分配
作者:
Insup Shin
;
Seungwhun Paik
;
Youngsoo Shin
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
dual supply voltage;
high-level synthesis;
low power;
register allocation;
18.
GPU-based parallelization for fast circuit optimization
机译:
基于GPU的并行化可实现快速电路优化
作者:
Yifang Liu
;
Jiang Hu
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
GPU;
VLSI circuit optimization;
parallel computing;
19.
Efficient SAT solving for non-clausal formulas using DPLL, graphs, and watched cuts
机译:
使用DPLL,图形和观察切口对非子句公式进行有效的SAT求解
作者:
Himanshu Jain
;
Edmund M. Clarke
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Boolean satisfiability;
DPLL;
NNF;
verification;
20.
Massively parallel processing
机译:
大规模并行处理
作者:
Steven P. Levitan
;
Donald M. Chiarulli
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
massively parallel processing;
multicore;
parallel architectures and algorithms;
21.
Provably good and practically efficient algorithms for CMP dummy fill
机译:
CMP伪填充的良好有效的算法
作者:
Chunyang Feng
;
Hai Zhou
;
Changhao Yan
;
Jun Tao
;
Xuan Zeng
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
covering linear programming;
design for manufacturability;
dummy fill problem;
22.
Predicting variability in nanoscale lithography processes
机译:
预测纳米级光刻工艺中的变异性
作者:
Dragoljub Gagi Drmanac
;
Frank Liu
;
Li-C. Wang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
kernel methods;
machine learning;
modeling variability;
photo lithography;
process variation;
23.
The Cilk++ concurrency platform
机译:
Cilk ++并发平台
作者:
Charles E. Leiserson
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Amdahl's Law;
dag model;
hyperobject;
multicore programming;
multithreading;
parallel programming;
parallelism;
race detection;
reducer;
span;
speedup;
work;
24.
Improving testability and soft-error resilience through retiming
机译:
通过重新计时提高可测试性和软错误恢复能力
作者:
Smita Krishnaswamy
;
Igor L. Markov
;
John P. Hayes
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
retiming;
soft errors;
testability;
25.
Statistical reliability analysis under process variation and aging effects
机译:
过程变化和老化影响下的统计可靠性分析
作者:
Yinghai Lu
;
Li Shang
;
Hai Zhou
;
Hengliang Zhu
;
Fan Yang
;
Xuan Zeng
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
NBTI;
process variations;
yield;
26.
SRAM parametric failure analysis
机译:
SRAM参数故障分析
作者:
Jian Wang
;
Soner Yaldiz
;
Xin Li
;
Lawrence T. Pileggi
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
SRAM;
failure probability estimation;
parametric failure;
response surface model;
27.
Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm
机译:
基于门大小和多目标遗传算法的标准单元电路软错误优化
作者:
Weiguang Sheng
;
Liyi Xiao
;
Zhigang Mao
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
genetic algorithm;
multi-objective;
optimization;
soft error;
28.
Spectral techniques for high-resolution thermal characterization with limited sensor data
机译:
用于有限传感器数据的高分辨率热表征的光谱技术
作者:
Ryan Cochran
;
Sherief Reda
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
spatial estimation;
spectral methods;
thermal management;
thermal sensors;
29.
Regression verification
机译:
回归验证
作者:
Benny Godlin
;
Ofer Strichman
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
equivalence checking;
software verification;
30.
Accurate temperature estimation using noisy thermal sensors
机译:
使用嘈杂的热传感器进行准确的温度估算
作者:
Yufu Zhang
;
Ankur Srivastava
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
DTM;
estimation;
multicore;
on-chip sensor;
temperature;
31.
Programmable neural processing on a smartdust
机译:
智能粉尘上的可编程神经处理
作者:
Shimeng Huang
;
Joseph Oresko
;
Yuwen Sun
;
Allen C. Cheng
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
bio-implantable computing;
brain-computer interface;
programmable spike sorting;
smartdust;
32.
A parameterized mask model for lithography simulation
机译:
用于光刻仿真的参数化掩模模型
作者:
Zhenhai Zhu
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
lithography;
mask model;
parameterized model order reduction;
33.
A novel verification technique to uncover out-of-order DUV behaviors
机译:
揭示无序DUV行为的新颖验证技术
作者:
Gabriel Marcilio
;
Luiz C. V. Santos
;
Bruno Albertini
;
Sandro Rigo
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
bipartite graphs;
white-box verification;
34.
Non-cycle-accurate sequential equivalence checking
机译:
非周期精确的顺序等效检查
作者:
Pankaj Chauhan
;
Deepak Goyal
;
Gagan Hasteer
;
Anmol Mathur
;
Nikhil Sharma
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
formal verification;
high level synthesis;
model checking;
sequential equivalence checking;
unit product machine;
35.
How to make computers that work like the brain
机译:
如何使计算机像大脑一样工作
作者:
Dileep George
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
brain;
hierarchical learning;
neocortex;
spatio-temporal learning;
36.
A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion
机译:
用于时序驱动的最小成本缓冲区插入的完全多项式时间近似方案
作者:
Shiyan Hu
;
Zhuo Li
;
Charles J. Alpert
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
NP-complete;
buffer insertion;
cost minimization;
dynamic programming;
fully polynomial time approximation scheme;
37.
Oil fields, hedge funds, and drugs
机译:
油田,对冲基金和毒品
作者:
Patrick Groeneveld
;
Rob A. Rutenbar
;
Jed Pitera
;
Erik Carlson
;
Jinsong Chen
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Monte Carlo methods;
drug discovery;
financial market analysis;
oil field discovery;
38.
Human computation
机译:
人为计算
作者:
Luis von Ahn
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
CAPTCHAs;
distributed knowledge acquisition;
games with a purpose;
human computation;
image labeling;
online games;
world wide web;
39.
Reduction techniques for synchronous dataflow graphs
机译:
同步数据流图的归约技术
作者:
Marc Geilen
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
model-based design;
reduction techniques;
synchronous dataflow graphs;
40.
FPGA-targeted high-level binding algorithm for power and area reduction with glitch-estimation
机译:
针对FPGA的高级绑定算法,可通过毛刺估计降低功耗和面积
作者:
Scott Cromar
;
Jaeho Lee
;
Deming Chen
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
FPGA;
glitch power;
high-level synthesis;
power reduction;
41.
Matching-based minimum-cost spare cell selection for design changes
机译:
基于匹配的最小成本备用电池选择,用于设计变更
作者:
Iris Hui-Ru Jiang
;
Hua-Yu Chang
;
Liang-Gi Chang
;
Huang-Bi Hung
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
ECO;
matching;
physical synthesis;
resynthesis;
spare cells;
42.
Handling don't-care conditions in high-level synthesis and application for reducing initialized registers
机译:
在高层综合中处理无关条件以及减少初始化寄存器的应用
作者:
Hong-Zu Chou
;
Kai-Hui Chang
;
Sy-Yen Kuo
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
RTL symbolic simulation;
don't-care (DC);
synthesis;
43.
LICT
机译:
信息通信技术
作者:
Talal Bonny
;
Jorg Henkel
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Huffman coding;
code compression;
embedded systems;
44.
Optimum LDPC decoder
机译:
最佳LDPC解码器
作者:
Erick Amador
;
Renaud Pacalet
;
Vincent Rezard
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
LDPC codes;
low power architectures;
memory optimization;
45.
A correct network flow model for escape routing
机译:
逃生路由的正确网络流模型
作者:
Tan Yan
;
Martin D. F. Wong
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
46.
Hierarchical reconfigurable computing arrays for efficient CGRA-based embedded systems
机译:
用于基于CGRA的高效嵌入式系统的分层可重配置计算阵列
作者:
Yoonjin Kim
;
Rabi N. Mahapatra
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
coarse-grained reconfigurable architecture (CGRA);
computing hierarchy;
embedded systems;
47.
Vicis
机译:
时间
作者:
David Fick
;
Andrew DeOrio
;
Jin Hu
;
Valeria Bertacco
;
David Blaauw
;
Dennis Sylvester
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
N-modular redundancy;
Network-on-Chip;
built-in-self-test;
fault tolerance;
hard faults;
reconfiguration;
torus;
48.
Simulation and SAT-based Boolean matching for large Boolean networks
机译:
大型布尔网络的仿真和基于SAT的布尔匹配
作者:
Kuo-Hua Wang
;
Chung-Ming Chan
;
Jung-Chang Liu
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Boolean matching;
simulation and SAT;
49.
New spare cell design for IR drop minimization in Engineering Change Order
机译:
新的备用电池设计可最大程度地减少工程变更单中的IR降
作者:
Hsien-Te Chen
;
Chieh-Chun Chang
;
TingTing Hwang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
ECO;
IR drop;
decoupling capacitor;
spare cell;
50.
Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs
机译:
技术驱动的多个电压频率岛设计的DVFS可控性限制
作者:
Siddharth Garg
;
Diana Marculescu
;
Radu Marculescu
;
Umit Ogras
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Networks-on-Chip;
performance bounds;
power management;
51.
Timing-driven optimization using lookahead logic circuits
机译:
使用前瞻逻辑电路的时序驱动优化
作者:
Mihir Choudhury
;
Kartik Mohanram
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
logic synthesis;
lookahead;
timing optimization;
52.
Speculation in elastic systems
机译:
弹性系统中的投机
作者:
Marc Galceran-Oms
;
Jordi Cortadella
;
Mike Kishinevsky
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
elastic designs;
protocols;
speculation;
synthesis;
53.
Soft connections
机译:
软连接
作者:
Michael Pellauer
;
Michael Adler
;
Derek Chiou
;
Joel Emer
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
high-level communication description;
54.
FPGA-based accelerator for the verification of leading-edge wireless systems
机译:
基于FPGA的加速器,用于验证领先的无线系统
作者:
Amirhossein Alimohammad
;
Saeed F. Fard
;
Bruce F. Cockburn
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
bit error rate;
wireless communications;
55.
Enabling adaptability through elastic clocks
机译:
通过弹性时钟实现适应性
作者:
Emre Tuncer
;
Jordi Cortadella
;
Luciano Lavagno
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
GALS;
adaptive voltage scaling;
desynchronization;
low power design;
56.
Addressing design margins through error-tolerant circuits
机译:
通过容错电路解决设计余量
作者:
Shidhartha Das
;
David Blaauw
;
David Bull
;
Krisztian Flautner
;
Rob Aitken
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
57.
WCET-aware register allocation based on graph coloring
机译:
基于图着色的WCET感知寄存器分配
作者:
Heiko Falk
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
WCET;
register allocation;
58.
Automated failure population creation for validating integrated circuit diagnosis methods
机译:
自动创建故障总数,以验证集成电路的诊断方法
作者:
Wing Chiu Tam
;
Osei Poku
;
R. D. (Shawn) Blanton
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
59.
Fault models for embedded-DRAM macros
机译:
嵌入式DRAM宏的故障模型
作者:
Mango C.-T. Chao
;
Hao-Yu Yang
;
Rei-Fu Huang
;
Shih-Chin Lin
;
Ching-Yu Chin
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
embedded DRAM;
memory testing;
60.
Hardware Trojan horse detection using gate-level characterization
机译:
使用门级表征的硬件特洛伊木马检测
作者:
Miodrag Potkonjak
;
Ani Nahapetian
;
Michael Nelson
;
Tammara Massey
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Hardware Trojan horses;
gate-level characterization;
linear programming;
manufacturing variability;
61.
Process variation characterization of chip-level multiprocessors
机译:
芯片级多处理器的工艺变化特性
作者:
Lide Zhang
;
Lan S. Bai
;
Robert P. Dick
;
Li Shang
;
Russ Joseph
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
characterization;
process variation;
software;
62.
A moment-based effective characterization waveform for static timing analysis
机译:
用于静态时序分析的基于矩的有效表征波形
作者:
David D. Ling
;
Chandu Visweswariah
;
Peter Feldmann
;
Soroush Abbaspour
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
current source model;
timing analysis;
63.
A false-path aware formal static timing analyzer considering simultaneous input transitions
机译:
考虑到同时输入转换的虚假路径形式正式静态时序分析器
作者:
Shihheng Tsai
;
Chung-Yang (Ric) Huang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
critical path selection;
false path;
formal method;
multiple input transitioning;
static timing analysis;
64.
Designing heterogeneous ECU networks via compact architecture encoding and hybrid timing analysis
机译:
通过紧凑的架构编码和混合时序分析设计异构ECU网络
作者:
Michael GlaßGermany
;
Martin Lukasiewycz
;
Jurgen TeichUnmesh D. Bordoloi
;
Samarjit Chakraborty
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
automotive;
design space exploration;
timing analysis;
65.
Design automation for a 3DIC FFT processor for synthetic aperture radar
机译:
用于合成孔径雷达的3DIC FFT处理器的设计自动化
作者:
Thorlindur Thorolfsson
;
Kiran Gonsalves
;
Paul D. Franzon
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
3DIC;
FFT;
SAR;
TSV;
66.
Double patterning lithography friendly detailed routing with redundant via consideration
机译:
双图案光刻友好的详细布线,并考虑了冗余
作者:
Kun Yuan
;
Katrina Lu
;
David Z. Pan
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
detailed routing;
double patterning;
redundant via;
67.
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating
机译:
使用DVFS和每核电源门控优化功率和散热受限的多核处理器的吞吐量
作者:
Jungseob Lee
;
Nam Sung Kim
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
DVFS;
multicore processor;
power gating;
68.
EDA in flux
机译:
流量中的EDA
作者:
Eshel Haritan
;
Andreas Kuehlmann
;
Tina Jones
;
John Epperheimer
;
Jan Rabaey
;
Rahul Razdan
;
Naveen Gupta
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
EDA future;
EDA research;
career development;
electronic markets;
recession;
69.
Design perspectives on 22nm CMOS and beyond
机译:
22nm CMOS及更高版本的设计观点
作者:
Shekhar Borkar
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
CMOS;
nano;
power;
variability;
70.
Creating an affordable 22nm node using design-lithography co-optimization
机译:
使用设计光刻协同优化技术创建价格合理的22nm节点
作者:
A. J. Strojwas
;
T. Jhaveri
;
V. Rovner
;
L. Pileggi
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
DFM;
design technology co-optimization;
regular fabric;
templates;
71.
Beyond innovation
机译:
超越创新
作者:
Carl J. Anderson
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
VLSI technology;
microprocessor design;
72.
Physically justifiable die-level modeling of spatial variation in view of systematic across wafer variability
机译:
鉴于系统间晶圆间的可变性,可物理合理地对空间变化进行管芯级建模
作者:
Lerong Cheng
;
Puneet Gupta
;
Costas Spanos
;
Kun Qian
;
Lei He
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
SSTA;
leakage analysis;
process variaion;
timing;
73.
Boolean logic function synthesis for generalised threshold gate circuits
机译:
广义阈值门电路的布尔逻辑函数合成
作者:
Marek A. Bawiec
;
Maciej Nikodem
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
GTG;
NDR;
logic synthesis;
nanoscale devices;
74.
Improving STT MRAM storage density through smaller-than-worst-case transistor sizing
机译:
通过小于最坏情况的晶体管尺寸来提高STT MRAM的存储密度
作者:
Wei Xu
;
Yiran Chen
;
Xiaobin Wang
;
Tong Zhang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
STT MRAM;
defect tolerance;
transistor sizing;
75.
Evaluating design trade-offs in customizable processors
机译:
评估可定制处理器中的设计权衡
作者:
Unmesh D. Bordoloi
;
Huynh Phung Huynh
;
Samarjit Chakraborty
;
Tulika Mitra
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
ASIP;
multi-objective design space exploration;
pareto-optimal curve;
processor customization;
76.
Power modeling of graphical user interfaces on OLED displays
机译:
OLED显示器上图形用户界面的电源建模
作者:
Mian Dong
;
Yung-Seok Kevin Choi
;
Lin Zhong
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
OLED display;
graphic user interface;
low power;
77.
Energy-aware error control coding for Flash memories
机译:
闪存的节能感知错误控制编码
作者:
Veera Papirla
;
Chaitali Chakrabarti
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Flash memories;
endurance;
error control coding;
low-power design;
78.
Beyond verification
机译:
超越验证
作者:
Rajeev K. Ranjan
;
Claudionor Coelho
;
Sebastian Skalberg
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
behavioral indexing;
debugging;
formal verification;
post-silicon debugging;
property verification;
traceless debugging;
79.
Analysis and mitigation of process variation impacts on Power-Attack Tolerance
机译:
分析和缓解工艺变化对功率攻击容限的影响
作者:
Lang Lin
;
Wayne Burleson
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Monte Carlo simulation;
differential power analysis;
process variation;
transistor sizing;
80.
Trace-driven workload simulation method for Multiprocessor System-On-Chips
机译:
多处理器片上系统的跟踪驱动工作量仿真方法
作者:
Tsuyoshi Isshiki
;
Dongju Li
;
Hiroaki Kunieda
;
Toshio Isomura
;
Kazuo Satou
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
MPSoC architecture exploration;
performance estimation;
simulation;
workload model;
81.
Untwist your brain
机译:
解开你的大脑
作者:
Michael Siegel
;
Adriana Maggiore
;
Christian Pichler
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
SystemVerilog assertions;
assertions;
debugging;
fault localization;
functional verification;
root cause analysis;
82.
Role of the verification team throughout the ASIC development life cycle
机译:
验证团队在ASIC开发生命周期中的作用
作者:
Eric Chesters
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
silicon validation;
verification;
83.
A physical unclonable function defined using power distribution system equivalent resistance variations
机译:
使用配电系统等效电阻变化定义的物理不可克隆的功能
作者:
Ryan Helinski
;
Dhruva Acharyya
;
Jim Plusquellic
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
hardware security;
process variations;
unique identifier;
84.
Xquasher
机译:
Xquasher
作者:
Arash Arfaee
;
Ali Irturk
;
Nikolay Laptev
;
Farzan Fallah
;
Ryan Kastner
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
DSP transforms;
area optimization;
common sub-expression elimination;
linear expression;
multiple constant multiplications;
85.
O-Router
机译:
O型路由器
作者:
Duo Ding
;
Yilin Zhang
;
Haiyu Huang
;
Ray T. Chen
;
David Z. Pan
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
integer linear programming;
low power nanophotonic integration;
optical routing;
86.
ILP-based pin-count aware design methodology for microfluidic biochips
机译:
基于ILP的微流控生物芯片针数识别设计方法
作者:
Cliff Chiung-Yu Lin
;
Yao-Wen Chang
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
biochip;
design methodology;
integer linear programming;
microfludics;
87.
A real-time program trace compressor utilizing double move-to-front method
机译:
利用双前移法的实时程序跟踪压缩器
作者:
Vladimir Uzelac
;
Aleksandar Milenkovic
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
compression;
debugging;
program trace;
88.
Heterogeneous code cache
机译:
异构代码缓存
作者:
Jose A. Baiocchi
;
Bruce R. Childers
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
dynamic binary translation;
scratchpad;
software caching;
89.
A computing origami
机译:
计算折纸
作者:
Andrei Hagiescu
;
Weng-Fai Wong
;
David F. Bacon
;
Rodric Rabbah
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
FPGA;
latency;
streaming;
throughput;
90.
The day Sherlock Holmes decided to do EDA
机译:
福尔摩斯决定进行EDA的那一天
作者:
Andreas Veneris
;
Sean Safarpour
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
debugging;
error localization;
verification;
91.
Debugging strategies for mere mortals
机译:
凡人的调试策略
作者:
Valeria Bertacco
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
design verification;
error correction;
error diagnosis;
validation;
92.
Endosymbiotic computing
机译:
内共生计算
作者:
Pai H. Chou
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
endosymbiotic computing;
surrogate GUI;
wireless interface;
93.
Scheduling the FlexRay bus using optimization techniques
机译:
使用优化技术调度FlexRay总线
作者:
Haibo Zeng
;
Wei Zheng
;
Marco Di Natale
;
Arkadeb Ghosal
;
Paolo Giusto
;
Alberto Sangiovanni-Vincentelli
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
FlexRay;
MILP;
automotive;
real-time systems;
scheduling;
94.
Automatic bus planner for dense PCBs
机译:
用于密集PCB的自动总线规划器
作者:
Hui Kong
;
Tan Yan
;
Martin D. F. Wong
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
PCB routing;
bus planning;
layer assignment;
topological routing;
95.
An SDRAM-aware router for Networks-on-Chip
机译:
用于片上网络的SDRAM感知路由器
作者:
Wooyoung Jang
;
David Z. Pan
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
Networks-on-Chip;
flow control;
memory;
router;
96.
Digital VLSI logic technology using Carbon Nanotube FETs
机译:
使用碳纳米管FET的数字VLSI逻辑技术
作者:
Nishant Patil
;
Albert Lin
;
Jie Zhang
;
H.-S. Philip Wong
;
Subhasish Mitra
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
CNFET;
carbon nanotube transistor;
carbon nanotubes;
97.
An adaptive scheduling and voltage/frequency selection algorithm for real-time energy harvesting systems
机译:
实时能量采集系统的自适应调度和电压/频率选择算法
作者:
Shaobo Liu
;
Qing Wu
;
Qinru Qiu
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
dynamic voltage and frequency selection;
energy harvesting;
98.
Software-assisted hardware reliability
机译:
软件辅助的硬件可靠性
作者:
Vijay Janapa Reddi
;
Meeta S. Gupta
;
Michael D. Smith
;
Gu-yeon Wei
;
David Brooks
;
Simone Campanoni
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
hardware software co-design;
runtime optimization;
99.
Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization
机译:
同时调整时钟缓冲器大小和极性分配,以最小化电源/接地噪声
作者:
Hochang Jang
;
Taewhan Kim
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
buffer insertion;
clock synthesis;
power/ground noise;
100.
Speedpath analysis based on hypothesis pruning and ranking
机译:
基于假设修剪和排序的速度路径分析
作者:
Nicholas Callegari
;
Li-C. Wang
;
Pouria Bastani
会议名称:
《Proceedings of the 46th Annual Design Automation Conference》
|
2009年
关键词:
data mining;
speedpath;
timing analysis;
意见反馈
回到顶部
回到首页