掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)
Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
数字通信世界
信号处理
电子节能
现代传输
通信与信息网络学报(英文)
无线电与电视
激光技术
信息安全与通信保密
雷达与对抗
中国电子科学研究院学报
更多>>
相关外文期刊
Fernmelde-Ingenieur
AV Magazine
Chinese Journal of Lasers. B
PTT Technische Mitteilungen
Industrial Electronics, Transactions of the IRE Professional Group on
AudioVideo International
Solid-State Electronics
Practical Wireless
Telecommunications Americas
Journal of the electronics industry
更多>>
相关中文会议
2004年全国通信软件技术学术年会
第14届全国计算机、网络在现代科学技术领域的应用学术会议
2012(第五届)全国网络与信息安全学术会议
2007年度陶瓷-金属封接与真空开关管用管壳技术进步研讨会
第10届中国卫星通信广播电视技术国际研讨会
2010通信电源学术研讨会
2010年全国半导体器件技术研讨会
第九届真空技术应用学术年会
第十届全国人机语音通讯学术会议
第六届京、津、沪、渝有线电视技术研讨会暨第六届全国城市有线电视技术研讨会(JJHY2008 NCCTV2008)
更多>>
相关外文会议
International Symposium on Ultra Clean Processing of Silicon Surfaces(UCPSS); 20060918-20; Antwerp(BE)
2013 International Conference on Emerging Trends in Communication, Control, Signal Processing and Computing Applications
1998 International Conference on Modeling and Simulation of Microsystems, Semiconductors, Sensors and Actuators Apr 6-8, 1998, Santa, Clara, California
World Congress on Microwave and Radio Frequency Applications; 200209; Sydney; AU
Proceedings of the 13th IASTED international conference on Signal and image processing.
Electrochemical Society(ECS) Meeting;Symposium on High Resolution Characterization of Corrosion Processes; 20071007-12;20071007-12; Washington,DC(US);Washington,DC(US)
Conference on Photon Processing in Microelectronics and Photonics III; 20040126-20040129; San Jose,CA; US
Electrochemical Society(ECS) Meeting;Symposium on Industrial Electrolysis and Electrochemical Engineering General Session; 20070506-11;20070506-11; Chicago,IL(US);Chicago,IL(US)
Photonics and optoelectronics meetings;Conference on laser technology and applications;POEM; 20081124-27;20081124-27;20081124-27; Wuhan(CN);Wuhan(CN);Wuhan(CN)
IFIP 211; IFIP(International Federation for Information Processing) World Computer Congress, TC-6; IFIP/IEEE Conference on Mobil; 20060820-25%; Santiago(CL)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Site Portability and Extrapolative Accuracy of a Predictive Resist Model
机译:
预测抵抗模型的站点可移植性和外推精度
作者:
Jim Vasek
;
John J. Biafore
;
Stewart A. Robertson
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
computational lithography;
calibrated resist model;
resist;
calibration;
hotspot;
2.
Impact of Gate Line Edge Roughness on Double-Gate FinFET Performance Variability
机译:
栅极线边缘粗糙度对双栅极FinFET性能可变性的影响
作者:
Kedar Patel
;
Tsu-Jae King Liu
;
Costas Spanos
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
LER;
LWR;
variability;
DG FinFET;
3.
Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability
机译:
用于双图案的分解难度分析及其对光掩模可制造性的影响
作者:
Yuichi Inazuki
;
Nobuhito Toyama
;
Takaharu Nagai
;
Takanori Sutou
;
Yasutaka Morikawa
;
Hiroshi Mohri
;
Naoya Hayashi
;
Martin Drapeau
;
Kevin Lucas
;
Chris Cork
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
double patterning;
decomposition;
photomask inspection;
mask error enhancement factor;
4.
Continuous Process Window Modeling for Process Variation Aware OPC and Lithography Verification
机译:
用于过程变化感知OPC和光刻验证的连续过程窗口建模
作者:
Qiaolin (Charlie) Zhang
;
Qiliang Yan
;
Yunqiang Zhang
;
Kevin Lucas
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
lithography;
optical proximity correction (OPC);
lithography verification;
continuous process window model;
focus-exposure matrix;
Bossung curve;
model calibration;
5.
An extraction of repeating patterns from OPCed layout data
机译:
从OPCed布局数据中提取重复图案
作者:
Fujimoto Yoshihiro
;
Masahiro Shoji
;
Kokoro Kato
;
Tadao Inoue
;
Masaki Yamabe
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
OPC;
mask writing;
character projection;
6.
ACLV- and Process-Window-Aware Extraction of Transistor Parameters Using Litho-Friendly Design (LfD) Methodologies
机译:
使用光刻设计(LfD)方法对晶体管参数进行ACLV和过程窗口感知的提取
作者:
Reinhard Maerz
;
Kai Peter
;
Monika Gschoederer
;
Eduard Ratai
;
Alexander Nielsen
;
Sascha Siegler
;
Rosi Deppe
;
Anton Huber
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
LfD;
process window analysis;
gate CD;
parameter extraction;
7.
A Procedure to Back-annotate Process Induced Layout Dimension Changes into the Post Layout Simulation Netlist
机译:
将过程引起的版图尺寸更改回注到后版图仿真网表的过程
作者:
Jonathan Ho
;
Yan Wang
;
Xin Wu
;
Jane Soward
;
Ping Zhang
;
Joanne Wu
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
back-annotation;
post-layout verification;
look-up table;
corner rounding;
pattern search.;
8.
A New Robust Process Window Qualification (PWQ) Technique to Perform Systematic Defect Characterization to Enlarge the Lithographic Process Window, using a Die-to-Database Verification Tool (NGR2100)
机译:
使用管芯到数据库验证工具(NGR2100)的新的鲁棒工艺窗口鉴定(PWQ)技术,可以执行系统性缺陷表征以扩大光刻工艺窗口
作者:
Tadashi Kitamura
;
Toshiaki Hasebe
;
Kazufumi Kubota
;
Futoshi Sakai
;
Shinichi Nakazawa
;
Michael J. Hoffman
;
Masahiro Yamamoto
;
Masahiro Inoue
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
9.
Systematic yield estimation method applying lithography simulation
机译:
应用光刻模拟的系统产量估算方法
作者:
Suigen KYOH
;
Soichi INOUE
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
systematic yield estimation;
design for manufacturability;
layout optimization;
10.
Application of layout DOE in RET flow
机译:
布局DOE在RET流中的应用
作者:
Yunqiang Zhang
;
Paul van Adrichem
;
Ji Li
;
Amy Yang
;
Kevin Lucas
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
layout DOE;
RET flow;
optical proximity correction (OPC);
restrictive design rules (RDR);
assist features (AF).;
11.
Hot Spot Management with Die-to-Database Wafer Inspection System
机译:
模具到数据库晶圆检查系统的热点管理
作者:
Kohji Hashimoto
;
Satoshi Usui
;
Kenji Yoshida
;
Ichirota Nagahama
;
Osamu Nagano
;
Yasuo Matsuoka
;
Yuuichiro Yamazaki
;
Soichi Inoue
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
Hot spot management;
Die-to-database inspection;
DfM;
OPC;
Hot spot extraction;
12.
Improvement on OPC completeness through pre-OPC hot spot detection and fix
机译:
通过OPC之前的热点检测和修复来提高OPC完整性
作者:
Yeonah Shim
;
Jaeyoung Choi
;
Jeahee Kim
;
Bo Su
;
Ping Zhang
;
Keun-Young Kim
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
DFM;
process window;
design rule;
YAM;
DRC;
13.
Intel Design for Manufacturing and Evolution of Design Rules
机译:
英特尔面向制造的设计和设计规则的演变
作者:
Clair Webb
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
14.
Microprocessor Chip Timing Analysis Using Extraction of Simulated Silicon-Calibrated Contours
机译:
使用模拟的硅校准轮廓提取微处理器芯片时序分析
作者:
Toshiaki Yanagihara
;
Takeshi Hamamoto
;
Koya Sato
;
Atsushi Okamura
;
Toshiyuki Matsunaga
;
Naohiro Kobayashi
;
Tatsuya Maekawa
;
Nishath Verghese
;
Jac Condella
;
Philippe Hurat
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
15.
Litho variations and their impact on the electrical yield of a 32nm node 6T SRAM cell
机译:
光刻变化及其对32nm节点6T SRAM单元的电产量的影响
作者:
Staf Verhaegen
;
Stefan Cosemans
;
Mircea Dusa
;
Pol Marchal
;
Axel Nackaerts
;
Geert Vandenberghe
;
Wim Dehaene
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
yield;
SRAM;
line-width roughness;
CD uniformity;
overlay;
16.
Validation and Application of a Mask Model for Inverse Lithography
机译:
反光刻光刻模型的验证与应用
作者:
Thuc H. Dam
;
Xin Zhou
;
Dongxue Chen
;
Anthony Adamov
;
Danping Peng
;
Bob Gleason
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
inverse lithography;
ILT;
computational lithography;
OPC;
RET;
lithography;
DFM;
17.
SEM contour-based Model OPC calibrated with Optically sensitive patterns
机译:
使用光学敏感图案校准的基于SEM轮廓的OPC模型
作者:
Jee-Eun Jung
;
Mi-Kyeong Lee
;
Yong-Jin Cho
;
Sang-Ho Lee
;
Young-Seog Kang
;
Young-Kyou Park
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
model calibration;
SEM contour;
process sensitive pattern detection.;
18.
Rigorous CMP and Electroplating simulations for DFM applications
机译:
DFM应用的严格CMP和电镀仿真
作者:
Yuri Granik
;
Norbert Strecker
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
CMP simulation;
slurry CMP process;
electroplating;
chip-scale CMP simulator.;
19.
Rules Based Process Window OPC
机译:
基于规则的过程窗口OPC
作者:
Sean OBrien
;
Robert Soper
;
Shane Best
;
Mark Mason
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
20.
Predicting Conversion Time of Circuit Design File by Artificial Neural Networks
机译:
利用人工神经网络预测电路设计文件的转换时间
作者:
Sung-Hoon Jang
;
Jee-Hyong Lee
;
Byoung-Sup Ahn
;
Won-Tai Ki
;
Ji-Hyeon Choi
;
Sang-Gyun Woo
;
Han-Ku Cho
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
neural networks;
multiple linear regression;
photomask;
GDSII;
mask data conversion;
21.
A Comprehensive Model of Process Variability for Statistical Timing Optimization
机译:
统计时序优化的过程可变性综合模型
作者:
Kun Qian
;
Costas J. Spanos
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
variability model;
systematic spatial variation;
design for manufacturing;
frequency;
leakage;
22.
DFM Software for Photomask Production and Qualification of its Accuracy and Functionality
机译:
用于光掩模生产的DFM软件及其准确性和功能性鉴定
作者:
Frank A. J. M. Driessen
;
J. Westra
;
K.G. Haens
;
E. Morita
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
criticality awareness;
flexible mask repair;
defect analysis;
defect inspection;
reticle manufacturing;
photomask;
23.
Effective Learning and Feedback to Designers through Design and Wafer Inspection Integration
机译:
通过设计和晶圆检查集成有效地向设计者学习和反馈
作者:
Crockett Huang
;
Hermes Liu
;
S F Tzou
;
Allen Park
;
Chris Young
;
Ellis Chang
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
design;
process integration;
DFM;
defect inspection;
GDS;
hotspot;
OPC;
24.
DfM, the Teenage Years
机译:
DfM,少年时代
作者:
Lars Liebmann
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
design for manufacturability (DfM);
computational technology (CT);
hard DfM;
soft DfM;
lithography exertion index (LII);
computational Scaling Index (CSI), DfM innovation index (DII);
total DfM opportunity;
25.
Device Performance-based OPC for Optimal Circuit Performance and Mask Cost Reduction
机译:
基于器件性能的OPC可实现最佳电路性能并降低掩模成本
作者:
Siew-Hong Teh
;
Chun-Huat Heng
;
Arthur Tay
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
device performance;
OPC;
non-rectangular transistor;
design for manufacturability (DFM);
design-process integration;
mask design;
26.
Implementation of Silicon-Validated Variability Analysis and Optimization for Standard Cell Libraries
机译:
标准单元库的硅验证变异性分析和优化的实现
作者:
Raphael Bingert
;
Alain Aurand
;
Jean-Claude Marin
;
Eric Balossier
;
Thierry Devoivre
;
Yorick Trouiller
;
Florent Vautrin
;
Nishath Verghese
;
Richard Rouse
;
Michel Cote
;
Philippe Hurat
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
27.
Automatic hotspot classification using pattern-based clustering
机译:
使用基于模式的聚类进行自动热点分类
作者:
Ning Ma
;
Justin Ghan
;
Sandipan Mishra
;
Costas Spanos
;
Kameshwar Poolla
;
Norma Rodriguez
;
Luigi Capodieci
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
hotspot classification;
DRC;
pattern-matching;
hierarchical clustering;
28.
Electrically Driven Optical Proximity Correction
机译:
电驱动光学邻近校正
作者:
Shayak Banerjee
;
Praveen Elakkumanan
;
Lars W. Liebmann
;
James A. Culp
;
Michael Orshansky
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
design for manufacturability;
design-intent;
contour-based current.;
29.
System to Improve RET-OPC Production by Dynamic Design Coverage Using Sign-Off Litho Simulator
机译:
通过签核光刻模拟器通过动态设计覆盖率提高RET-OPC生产的系统
作者:
Mark C. Simmons
;
Jean-Marie Brunet
;
Seung-Weon Paek
;
Y.K. Kim
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
RET/OPC recipes;
process models;
production ramp-up;
dynamic design coverage.;
30.
Context analysis and validation of lithography induced systematic variations in 65nm designs
机译:
光刻技术的上下文分析和验证引起了65nm设计中的系统变化
作者:
Arjun Rajagopal
;
Anand Rajaram
;
Raguram Damodaran
;
Frank Cano
;
Srinivas Swaminathan
;
Clive Bittlestone
;
Mark Terry
;
Mark Mason
;
Yajun Ran
;
Haizhou Chen
;
Robert Ritchie
;
Bala Kasthuri
;
Jac Condella
;
Philippe Hurat
;
Nishath Verghese
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
31.
Exposure Tool Specific Post-OPC Verification
机译:
曝光工具特定的OPC后验证
作者:
John Sturtevant
;
Srividya Jayaram
;
Le Hong
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
scanner;
aberrations;
OPC;
verification;
zernike;
32.
Checking design conformance and optimizing manufacturability using automated Double Patterning decomposition
机译:
使用自动Double Patterning分解检查设计一致性并优化可制造性
作者:
Chris Cork
;
Brian Ward
;
Levi Barnes
;
Ben Painter
;
Kevin Lucas
;
Gerry Luk-Pat
;
Vincent Wiaux
;
Staf Verhaegen
;
Mireille Maenhoudt
会议名称:
《》
|
2008年
33.
VARAN: Variability analysis for memory cell robustness
机译:
VARAN:存储单元健壮性的变异性分析
作者:
Gideon Reisfeld
;
Dmitry Messerman
;
Nir Bone
;
Adi Lazar
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
memory cell failure;
process variability;
design centering;
MPP;
34.
Using Composite Gratings for Optical System Characterization through Scatterometry
机译:
使用复合光栅通过散射法表征光学系统
作者:
Yu Ben
;
Jing Xue
;
Costas J. Spanos
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
scatterometry;
lithography;
optical aberrations;
zernike coefficients.;
35.
Shaping Gate Channels for Improved Devices
机译:
塑造改进设备的栅极通道
作者:
Puneet Gupta
;
Andrew B. Kahng
;
Youngmin Kim
;
Saumil Shah
;
Dennis Sylvester
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
36.
Concurrent Development Methodology from Design Rule to OPC in 45-nm node Logic device
机译:
从45纳米节点逻辑器件中的设计规则到OPC的并行开发方法
作者:
Kenji Konomi
;
Shigeki Nojima
;
Shimon Maeda
;
Takeshi Fujimaki
;
Hirofumi Igarashi
;
Ryuji Ogawa
;
Shoji Mimotogi
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
RET;
design rule;
45nm node;
logic device;
37.
Cell-Based OPC with Standard-Cell Fill Insertion
机译:
具有标准单元格填充插入功能的基于单元格的OPC
作者:
Liang Deng
;
Kai-Yuan Chao
;
Hua Xiang
;
Martin D. F. Wong
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
lithography;
design for manufacturing;
cellwise OPC;
fill insertion;
38.
Analysis of Systematic Variation and Impact on Circuit Performance
机译:
系统变化及其对电路性能的影响分析
作者:
Shayak Banerjee
;
Praveen Elakkumanan
;
Dureseti Chidambarrao
;
James Culp
;
Michael Orshansky
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
extraction;
DFM;
systematic variations;
lithography;
contour-based current;
39.
APF~(R) Pitch-Halving for 22nm Logic Cells using Gridded Design Rules
机译:
使用网格设计规则的22nm逻辑单元的APF〜(R)间距均分
作者:
Michael C. Smayling
;
Christopher Bencher
;
Hao D. Chen
;
Huixiong Dai
;
Michael P. Duane
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
APF;
advanced patterning film;
GDR;
gridded design rules;
restricted design rules;
double patterning;
spacer mask;
SADP;
SaDPT;
40.
Accurate model base verification scheme to eliminate hotspots and manage warmspots
机译:
准确的模型库验证方案,可消除热点并管理热点
作者:
Shigeki Nojima
;
Suigen Kyoh
;
Shimon Maeda
;
Soichi Inoue
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DfM;
hotspot;
warmspot;
LCC;
multiple simulation models;
PPE difference;
41.
A Routing Clean-Up Methodology for Improvement of Defect and Lithography Related Yield
机译:
用于改善缺陷和光刻相关产量的工艺路线清理方法
作者:
Jacques Herry
;
Reinhard Maerz
;
Hanno Melzner
;
Kai Peter
;
Olivier Rizzo
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
routing;
yield;
defects;
critical area;
lithography;
42.
Yield Aware Design of gate layer for 45 nm CMOS-ASIC using a high-NA dry KrF systems
机译:
使用高NA干KrF系统的45 nm CMOS-ASIC栅极层的良率感知设计
作者:
Ewoud Vreugdenhil
;
Harold Benten
;
Liesbeth Reijnen
;
Gerald Dicker
;
Jan-Willem Gemmink
;
Frank Bornebroek
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
cut-mask;
high-NA KrF;
yield-aware design;
unidirectional layout;
CD-control;
EOL-control.;
43.
Design Based Binning for Litho Qualification and Process Window Qualification
机译:
基于设计的光刻资格和工艺窗口资格的分箱
作者:
Andreas Fischer
;
Uwe Seifert
;
Arno Wehner
;
Qimonda Dresden
;
Laurent Karsenti
;
Mark Geshel
;
Amiad Conley
;
Dieter Gscheidlen
;
Avishai Bartov
;
Applied Materials
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC model;
CD-SEM metrology;
design based metrology;
44.
Hypersensitive parameter-identifying ring oscillators for lithography process monitoring
机译:
用于光刻过程监控的超灵敏参数识别环形振荡器
作者:
Lynn Tao-Ning Wang
;
Wojtek J. Poppe
;
Liang-Teck Pang
;
Andrew R. Neureuther
;
Elad Alon
;
Borivoje Nikolic
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
ring oscillator;
lithography;
layout;
focus monitor;
phase-shifting mask;
electronic testing;
variability;
DfM;
45.
Layout Patterning Check for DFM
机译:
DFM的布局图案检查
作者:
C.C. Chang
;
I.C. Shih
;
J.F. Lin
;
Y.S. Yen
;
C.M. Lai
;
W.C. Huang
;
R.G. Liu
;
Y.C. Ku
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DRC;
DFM;
LPC;
hotspot;
46.
Inverse Lithography as a DFM Tool: Accelerating Design Rule Development with Model-Based Assist Feature Placement, Fast Optical Proximity Correction and Lithographic Hotspot Detection
机译:
反向光刻作为DFM工具:通过基于模型的辅助特征放置,快速光学邻近校正和光刻热点检测来加速设计规则开发
作者:
Steve Prins
;
James Blatchford
;
Simon Chang
;
Lewis Flanagin
;
Scott Jessen
;
Sean OBrien
;
Guangming Xiao
;
Timothy Lin
;
Thuc Dam
;
Bob Gleason
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
design rule;
lithography simulation;
contact holes;
47.
Low k_1 Logic Design using Gridded Design Rules
机译:
使用网格设计规则的低k_1逻辑设计
作者:
Michael C. Smayling
;
Hua-yu Liu
;
Lynn Cai
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
Low k_1;
gridded design rules;
restricted design rules;
context dependent hotspots;
48.
Manufacturing for Design: A Novel Interconnect Optimization Method
机译:
设计制造:一种新型的互连优化方法
作者:
Hongbo Zhang
;
Liang Deng
;
Kai-Yuan Chao
;
Martin D.F. Wong
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
manufacturing for design (MFD);
design for manufacturing (DFM);
interconnect optimization;
OPC;
power;
timing;
49.
Layout verification in the era of process uncertainty: Target Process Variability Bands Vs Actual Process Variability Bands
机译:
工艺不确定性时代的布局验证:目标工艺变异带与实际工艺变异带
作者:
J. Andres Torres
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
lithography checks;
layout verification;
layout portability.;
50.
Layout Optimization based on a Generalized Process Variability Model
机译:
基于广义过程变异性模型的布局优化
作者:
Qian Ying Tang
;
Costas J. Spanos
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
circuit sizing;
pelgrom's model;
layout generation;
circuit performance;
variability;
51.
Process variation in metal-oxide-metal (MOM) capacitors
机译:
金属氧化物金属(MOM)电容器的工艺变化
作者:
Lynn Tao-Ning Wang
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
RFIC;
MOM capacitors;
annular illumination;
lithography;
interdigitated;
52.
RET selection using rigorous, physics-based computational lithography
机译:
使用严格的,基于物理的计算光刻技术进行RET选择
作者:
Sanjay Kapasi
;
Trey Graves
;
Mark D. Smith
;
Stewart Robertson
;
Chris Sallee
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
RET selection;
computational lithography;
OPC forensics;
lithography simulation;
lithoWare;
PROLITH;
cluster computing;
rigorous models;
53.
Predicting Yield using Model Based OPC Verification Calibrated with Electrical Test Data
机译:
使用通过电气测试数据校准的基于模型的OPC验证来预测产量
作者:
James A. Bruce
;
Tso-Hui Ting
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
verification;
simulation;
process window;
yield;
54.
A Method of Obtaining Lithography Friendly Layout Using a Model for First Level Defects
机译:
利用一级缺陷模型获得光刻友好版图的方法
作者:
Sungsoo Suh
;
Sukjoo Lee
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
lithography;
optical proximity correction;
model OPC;
OPC verification;
55.
Global and Local Factors of On-Chip Variation of Gate Length
机译:
栅极长度在芯片上变化的全局和局部因素
作者:
Morimi Osawa
;
Koji Hosono
;
Satoru Asai
;
Fujitsu Limited
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
variation;
on-chip linewidth variation;
OCLV;
gate length variation;
DFM;
timing analysis;
56.
32nm Design Rule Evaluation through Virtual Patterning
机译:
通过虚拟图案进行32nm设计规则评估
作者:
Scott Jessen
;
James Blatchford
;
Steve Prins
;
Simon Chang
;
Yiming Gu
;
Mark Smith
;
Dale Legband
;
Chris Sallee
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
OPC;
virtual patterning;
lithography simulation;
57.
DfM lessons learned from altPSM design
机译:
从altPSM设计中学到的DfM经验教训
作者:
Lars Liebmann
;
Zak Baum
;
Ioana Graur
;
Don Samuels
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
design for manufacturability (DfM);
alternating phase shifted mask lithography (altPSM);
layout legalization;
58.
DFM Application on Dual Tone Sub 50nm Device
机译:
DFM在双音次50nm器件上的应用
作者:
Byoung-Sub Nam
;
James Moon
;
Joo-Hong Jeong
;
Dong-Ho kong
;
Se-young Oh
;
Cheol-Kyun Kim
;
Byung-Ho Nam
;
Dong Gyu Yim
会议名称:
《Conference on Design for Manufacturability through Design-Process Integration; 20080128-29; San Jose,CA(US)》
|
2008年
关键词:
DFM;
dual tone mask;
DOF;
EL;
lithography;
意见反馈
回到顶部
回到首页