掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Metrology, Inspection, and Process Control for Microlithography XX pt.1
Metrology, Inspection, and Process Control for Microlithography XX pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
信息空间
IT时代周刊
电子工艺技术
电子设计应用
通信学报
音响技术
山西通信科技
北京电子
电子商务世界
今日电子
更多>>
相关外文期刊
AudioVideo International
Camcorder & computer video
Antennas and Propagation Society Newsletter, IEEE
International journal of wireless information networks
IETE Technical Review
Network Technology Report
Terahertz Science and Technology, IEEE Transactions on
Electronics & Communication Engineering Journal
The Journal of the Reliability Analysis Center
Satellite Evolution Asia
更多>>
相关中文会议
2006中日电子电路秋季大会暨国际PCB技术/信息论坛
中国电子学会第十五届信息论学术年会暨第一届全国网络编码学术年会
2013年全国博士生学术论坛——电子薄膜与集成器件
第八届全国遥感遥测遥控学术研讨会
浙江省信号处理学会2014学术年会
第九届全国抗辐射电子学与电磁脉冲学术年会
第五届北京国际学术交流月数字电视技术研讨交流会
2011第十四届全国可靠性物理学术讨论会
2010中国高端SMT学术会议
第二十八届全国通信与信息技术学术年会
更多>>
相关外文会议
Noise and Fluctuation in Photonics, Quantum Optics, and Communications; Proceedings of SPIE-The International Society for Optical Engineering; vol.6603
Symposium on Application of Synchrotron Radiation Techniques to Materials Science VI, Apr 16-20, 2001, San Francisco, California
Nano-Electron Device Workshop
Symposium on Materials, Integration and Packaging Issues for High-Frequency Devices; 20031201-20031203; Boston,MA; US
Conference on quantum communications realized II; 20090128-29; San Jose, CA(US)
International Conference on Information and Communications Security(ICICS 2005); 20051210-13; Beijing(CN)
2019 15th Conference on Ph.D Research in Microelectronics and Electronics
2015 ICOHTEC/IEEE International History of High-Technologies and their Socio-Cultural Contexts Conference
2016 IEEE International Conference on Ubiquitous Wireless Broadband
Electro-optical and infrared systems: technology and applications VII
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Yield Enhancement Methodologies for 90nm Technology and Beyond
机译:
90nm及更高工艺的良率提高方法
作者:
John Allgair
;
Todd Carey
;
James Dougan
;
Tony Etnyre
;
Nate Langdon
;
Brooke Murray
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
2.
Virtual Measurements and Simulation of Interference Microscopes
机译:
干涉显微镜的虚拟测量和仿真
作者:
Friedel Koerfer
;
Sra Scheermesser
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
interference microscope;
calibration;
simulation;
ray tracing;
virtual environment;
measurement uncertainty;
3.
The use of unpatterned wafer inspection for immersion lithography defectivity studies
机译:
使用无图案晶圆检查进行浸没式光刻缺陷研究
作者:
Frank Holsteyns
;
Lisa Cheung
;
Dieter Van Den Heuvel
;
Gino Marcuccilli
;
Gavin Simpson
;
Rol Brun
;
y Steinbach
;
Wim Fyen
;
Diziana Vangoidsenhoven
;
Paul Mertens
;
Mireille Maenhoudt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
immersion lithography;
wafer defectivity;
inspection;
topcoat;
193nm resist;
unpatterned defect monitoring;
SP1;
SP2;
4.
Three-Dimensional Metrology with Side-Wall Measurement using Tilt-Scanning Operation in Digital Probing AFM
机译:
在数字探测AFM中使用倾斜扫描操作进行侧墙测量的三维计量
作者:
Ken Murayama
;
Satoshi Gonda
;
Hajime Koyanagi
;
Tsuneo Terasawa
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
atomic force microscopy;
sidewall measurement;
tilted-tip;
tilt-scanning;
semiconductor surface;
carbon nano tube;
digital probing;
AFM;
5.
Towards Full-chip Prediction of Yield-Limiting Contact Patterning Failure: Correlation of Simulated Image Parameters to Advanced Contact Metrology Metrics
机译:
迈向产量极限接触图案失效的全芯片预测:模拟图像参数与高级接触度量标准的相关性
作者:
John L. Sturtevant
;
Dyiann Chou
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
contact;
lithography;
defect;
OPC;
ORC;
yield;
DFM;
SEM metrology;
6.
Simulations of Optical Microscope Images
机译:
光学显微镜图像的模拟
作者:
Thomas A. Germer
;
Egon Marx
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
coherence;
imaging;
lithography;
microscopy;
polarization;
scatter;
7.
Small Feature Accuracy Challenge for CD-SEM Metrology Physical Model Solution
机译:
CD-SEM计量物理模型解决方案的小特征精度挑战
作者:
Benjamin Bunday
;
John Allgair
;
Ofer Adan
;
Aviram Tam
;
Sergey Latinski
;
Guy Eytan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
ISMI;
SEMATECH;
applied materials;
VeritySEM II;
CD-SEM;
accuracy;
total measurement uncertainty;
small lines;
3-D imaging;
simulation;
modeling;
8.
Estimation of pattern shape based on CD-SEM image by using MPPC method
机译:
MPPC法基于CD-SEM图像的图案形状估计
作者:
T.Onozuka
;
Y.Ojima
;
J.Meessen
;
B.Rijpers
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
critical dimension scanning electron microscope (CD-SEM);
pattern profile;
ArF photo resist;
line edge roughness (LER);
9.
Defect Metrology Challenges for the 45 nm Technology Node and Beyond
机译:
45 nm技术节点及以后的缺陷计量挑战
作者:
Dilip Patel
;
Jeffrey Hanrahan
;
Kyuhong Lim
;
Milton Godwin
;
Peter Figliozzi
;
Dale Sheu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
defect metrology;
defect detection;
wafer inspection;
metrology;
ITRS;
10.
Decorrelation of fitting parameters by Mueller polarimetry in conical diffraction
机译:
锥形衍射中的Mueller旋光法解拟合参数
作者:
Antonello De Martino
;
Tatiana Novikova
;
Christophe Arnold
;
Sami BenHatit
;
Bernard Drevillon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
mueller matrix;
scatterometry;
diffraction;
grating metrology;
etching process control;
11.
Design-Driven Metrology: a new paradigm for DFM-enabled process characterization and control: extensibility and limitations
机译:
设计驱动的计量学:基于DFM的过程表征和控制的新范例:可扩展性和局限性
作者:
Luigi Capodieci
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
design-driven metrology;
design based metrology;
metrology automation;
resolution enhancement techniques;
optical proximity correction;
design for manufacturing;
12.
Development of an Automated Multiple-target Mask CD Disposition System to Enable New Sampling Strategy
机译:
自动化多目标掩模CD处理系统的开发,以实现新的采样策略
作者:
Jian Ma
;
Jeff Farnsworth
;
Larry Bassist
;
Ying Cui
;
Bobby Mammen
;
Ramaswamy Padmanaban
;
Venkatesh Nadamuni
;
Muralidhar Kamath
;
Ken Buckmann
;
Julie Neff
;
Phil Freiberger
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD;
multiple target;
mask;
metrology;
correlation;
design-based;
13.
CD-AFM vs CD-SEM for resist LER and LWR measurements
机译:
CD-AFM与CD-SEM进行抗蚀剂LER和LWR测量
作者:
J. Foucher
;
A.L Fabre
;
P. Gautier
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD;
AFM;
SEM;
roughness;
LER;
LWR;
accuracy;
14.
Calibrating Optical Overlay Measurements
机译:
校准光学覆盖测量
作者:
W.P. Lipscomb III
;
J.A. Allgair
;
B.D. Bunday
;
M.R. Bishop
;
R.M. Silver
;
R. Attota
;
M.D. Stocker
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
15.
Progress on Implementation of a CD-AFM-Based Reference Measurement System
机译:
基于CD-AFM的参考测量系统的实现进展
作者:
Ndubuisi G. Orji
;
Angela Martinez
;
Ronald G. Dixson
;
John Allgair
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD-AFM;
reference measurement system;
higher order tip effects;
traceability;
metrology;
linewidth;
16.
Polarization Control for Enhanced Defect Detection on Advanced Memory Devices
机译:
极化控制可增强高级存储设备上的缺陷检测能力
作者:
Byoung-Ho Lee
;
Dong-Chul Ihm
;
Jeong-Ho Yeo
;
Yael Gluk
;
Doron Meshulach
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
inspection;
SNR;
polarization;
DUV;
flash RAM;
UVision™;
17.
Scatterometry Measurements of Line End Shortening Structures for Focus-Exposure Monitoring
机译:
用于聚焦监控的线端缩短结构的散射测量
作者:
Kelvin Hung
;
Yung Feng Cheng
;
Jie Wei Sun
;
Benjamin Szu-Min Lin
;
Steven Fu
;
Thaddeus G. Dziura
;
Marcelo Cusacovich
;
Walter D. Mieher
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
LES;
focus;
exposure;
line end shortening;
FEM;
OCD;
SCD;
process control;
18.
Self Interferometric Electrical Image Monitors
机译:
自干涉式电子图像监视器
作者:
Juliet Holwill
;
rew R. Neureuther
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
19.
Product and Tool Control Using Integrated Auto-Macro Defect Inspection in the Photolithography Cluster
机译:
在光刻机群中使用集成的自动宏观缺陷检查进行产品和工具控制
作者:
Vinayan C. Menon
;
Robert L. Isaacson
;
Matthew C. Nicholls
;
Stephen J. Lickteig
;
Thomas Forstner
;
Anthony R. Barnett
;
James Mulhall
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
integrated metrology;
macro defects;
after develop inspection;
20.
Phase Calibration for Attenuating Phase-Shift Masks
机译:
衰减相移掩模的相位校准
作者:
Michael S. Hibbs
;
Timothy A. Brunner
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
phase calibration;
standards;
attenuated phase masks;
21.
Minimizing CD Measurement Bias through Realtime Acquisition of 3D Feature Shapes
机译:
通过实时获取3D特征形状来最大程度地减少CD测量偏差
作者:
Johann Foucher
;
Dmitry Gorelikov
;
Marc Poulingue
;
Pascal Fabre
;
Ganesh Sundaram
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD;
AFM;
SEM;
FIB;
accuracy;
foot;
22.
Macro analysis of line-edge and line width roughness
机译:
线边缘和线宽粗糙度的宏观分析
作者:
Jangho Shin
;
Jinyoung Yoon
;
Youngjae Jung
;
SukJoo Lee
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Joo-Tae Moon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
line-edge roughness;
line width roughness;
23.
Litho Metrology Challenges for the 45nm Technology Node and Beyond
机译:
45纳米技术节点及以后的光刻技术挑战
作者:
John A. Allgair
;
Benjamin D. Bunday
;
Mike Bishop
;
Pete Lipscomb
;
Ndubuisi G. Orji
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
OCD;
optical critical dimension;
scatterometer;
scatterometry;
CD-SEM;
AMAG;
SEMATECH;
ISMI;
integrated metrology;
CD metrology;
overlay metrology;
unified specification;
24.
Integrated Aerial Image Sensor: Modeling and Assembly
机译:
集成航空影像传感器:建模和组装
作者:
Jing Xue
;
Kurt Moen
;
Costas J. Spanos
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
integrated aerial image sensor (IAIS);
in-situ metrology;
partial coherent image;
abbe's formulation;
self-assembly;
low temperature bonding;
capillary force alignment;
25.
In-Line TEM Sample Preparation and Wafer Return Strategy for Rapid Yield Learning
机译:
在线TEM样品制备和晶圆返还策略,可快速获得产量
作者:
N.Bicaies-Lepinay
;
F.re
;
S.Brevers
;
P.Guyader
;
C.Trouiller
;
L.F.Tz.Kwakman
;
S.Pokrant
;
D.Verkleij
;
R.Schampers
;
L.Ithier
;
E.Sicurani
;
C.Wyon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
in-line dual beams;
in-situ TEM sample preparation;
FIB- induced contamination;
wafer return strategy;
26.
Integrated scatterometry in high volume manufacturing for polysilicon gate etch control
机译:
大批量生产中的集成散射测量,用于多晶硅栅极蚀刻控制
作者:
Matthew Sendelbach
;
res Munoz
;
Kenneth A. By
;
Dan Prager
;
Merritt Funk
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
integrated scatterometry;
total measurement uncertainty;
TMU;
TMU analysis;
gate;
polysilicon etch;
feedforward;
feedback;
high volume manufacturing;
27.
Influence of semiconductor manufacturing process variation on device parameter measurement for angular scatterometry
机译:
半导体制造工艺变化对角散射测量设备参数测量的影响
作者:
Shih-Chun Wang
;
Yi-Sha Ku
;
Deh-Ming Shyu
;
Chun-Hung Ko
;
Nigel Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
refractive index;
scatterometry;
28.
In field overlay uncertainty contributors - a back end study
机译:
现场叠加不确定性贡献者-后端研究
作者:
Mike Adel
;
Aviv Frommer
;
Elyakim Kassel
;
Pavel Izikson
;
Philippe Leray
;
Bernd Schulz
;
Rolf Seltmann
;
Jens Busch
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
overlay;
metrology;
residuals;
process control;
29.
In-chip optical CD measurements for non-volatile memory devices
机译:
非易失性存储设备的片内光学CD测量
作者:
Mauro Vasconi
;
Stephanie Kremer
;
M. Polli
;
Ermes Severgnini
;
Silvia S. Trovati
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
in-chip;
scatterometry;
grating;
correlation;
sampling plan;
30.
Improved scatterometry method of critical dimension measurements and its application for control of development process
机译:
改进的关键尺寸测量散射法及其在开发过程控制中的应用
作者:
Irina Pundaleva
;
Dongseok Nam
;
Hakseung Han
;
Donggun Lee
;
Woosung Han
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
critical dimension;
end point detector;
development;
31.
Improvement of Alignment and Overlay Accuracy on Amorphous Carbon Layers
机译:
非晶碳层的对准和覆盖精度的提高
作者:
Young- Sun Hwang
;
Eung-kil Kang
;
Ki-lyoung Lee
;
Keun-Do Ban
;
Cheol-Kyu Bok
;
Chang-Moon Lim
;
Hyeong-Soo Kim
;
Seung-Chan Moon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
amorphous carbon;
alignment;
overlay;
SiON;
32.
Global Pattern Density Effects on Low-K Trench CDs for Sub-65nm Technology Nodes
机译:
低于65nm技术节点的低K型Trench CD的全局图案密度影响
作者:
Ju-Wang Hsu
;
J.H. Shieh
;
Kelvin Y.Y. Doong
;
L.J. Hung
;
S.C. Lin
;
C.Y. Ting
;
S.M. Jang
;
K.L. Young
;
M.S. Liang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
pattern density;
global pattern density;
mask open ratio;
trench etch;
low-k;
33.
Evaluation of OPC Quality using Automated Edge Placement Error Measurement with CD-SEM
机译:
使用带有CD-SEM的自动边缘放置误差测量来评估OPC质量
作者:
Cyrus Tabery
;
Hidetoshi Morokuma
;
Akiyuki Sugiyama
;
Lorena Page
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
OPC;
CD-SEM;
dense SEM calibration;
design based metrology;
edge placement error;
die-to-design inspection;
empirical PVBAND;
34.
Application of Optical CD metrology based on both Spectroscopic ellipsometry and scatterometry for Si-recess monitor
机译:
基于光谱椭偏和散射的光学CD计量学在硅隐身监测仪中的应用
作者:
Peter C. Y. Huang
;
Ryan C. J. Chen
;
Fang-Cheng Chen
;
Baw-Ching Perng
;
Jyu-Horng Shieh
;
S.M. Jang
;
M.S. Liang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
shallow trench isolation;
strain source drain (SSD);
effective medium approximation (EMA);
transmission electron microscopy (TEM);
35.
Advanced X-ray mask Inspection System (AXIS) using Scanning Electron Microscopy for Sub-70nm Die-to-Database Inspections
机译:
先进的X射线光罩检测系统(AXIS),使用扫描电子显微镜进行70nm以下的芯片到数据库检查
作者:
Brent E. Boerger
;
Mengchun Yu
;
Robert A. Selzer
;
Yungsheng Ma
;
Donald Ronning
;
Donald Ducharme
;
Brian J. Grenon
;
Michael J. Trybendis
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scanning electron microscope;
SEM;
die-to-database;
defect inspection;
nanometer;
sub-100nm;
NGL;
imprint;
X-ray;
OPC;
serif;
PSM;
yield management;
masks;
36.
Advanced DFM applications using Design Based Metrology on CD SEM
机译:
使用基于设计的计量学CD SEM的高级DFM应用
作者:
G.F. Lorusso
;
L. Capodieci
;
D. Stoler
;
B. Schulz
;
S. Roling
;
J. Schramm
;
C. Tabery
;
K. Shah
;
B. Singh
;
G. Abbott
;
A. Roberts
;
A. Azordegan
;
L. Heinrichs
;
Z. Kaliblotzky
;
E. Castel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
37.
Marching to the beat of Moore's Law
机译:
迈向摩尔定律的步伐
作者:
Yan Borodovsky
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
38.
Traceable Atomic Force Microscope Dimensional Metrology at NIST
机译:
NIST的可追溯原子力显微镜尺寸计量
作者:
Ronald Dixson
;
Ndubuisi G. Orji
;
Joseph Fu
;
Michael Cresswell
;
Rich Allen
;
Will Guthrie
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD-AFM;
metrology;
CD;
linewidth;
reference measurement system;
standards;
calibration;
traceability;
39.
Tools to Measure CD-SEM Performance
机译:
衡量CD-SEM性能的工具
作者:
Jihoon Kim
;
Kiran Jalhadi
;
Sachin Deo
;
Soo-Young Lee
;
David Joy
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scanning electron microscope;
resolution;
signal to noise;
proximity correction;
zone plate;
40.
The Limits of Image-based Optical Metrology
机译:
基于图像的光学计量学的局限性
作者:
R. M. Silver
;
B. M. Barnes
;
R. Attota
;
J. Jun
;
J. Filliben
;
J. Soto
;
M. Stocker
;
P. Lipscomb
;
E. Marx
;
H. J. Patrick
;
R. Dixson
;
R. Larrabee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
41.
Carbon nanotube probes for three dimensional critical dimension metrology
机译:
用于三维临界尺寸计量的碳纳米管探针
作者:
B. C. Park
;
S. J. Ahn
;
J. Choi
;
K. Y. Jung
;
W. Y. Song
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
atomic force microscope (AFM);
carbon nanotube (CNT) tip;
nano-manipulation;
focused ion beam (FIB);
critical dimension (CD) metrology;
42.
Dome scatterometry for the measurement of advanced geometry semiconductor devices
机译:
圆顶散射仪,用于测量高级几何半导体器件
作者:
Christopher J. Raymond
;
Mike Littau
;
Darren Forman
;
Steven G. Hummel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
optical;
diffraction;
CD;
metrology;
dome;
43.
Comprehensive Approach to MuGFET Metrology
机译:
MuGFET计量的综合方法
作者:
G.F. Lorusso
;
P. Leray
;
T. Veweyer
;
M.Ercken
;
C. Delvaux
;
I. Pollentier
;
S. Cheng
;
N. Collaert
;
R. Rooyackers
;
B. Degroote
;
M. Jurczak
;
S. Biesemans
;
O. Richard
;
H. Bender
;
A. Azordegan
;
J. McCormack
;
S. Shirke
;
J. Prochazka
;
T. Long
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
44.
Compensating Measured Intra-Wafer Ring Oscillator Stage Delay With Intra-Wafer Exposure Dose Corrections
机译:
利用晶圆内曝光剂量校正来补偿晶圆内环形振荡器的测量级延迟
作者:
Staf Verhaegen
;
Axel Nackaerts
;
Mircea Dusa
;
Rene Carpaij
;
Geert Venberghe
;
Jo Finders
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
metrology;
ring oscillator;
intra-wafer;
exposure dose correction;
CD uniformity;
delay;
45.
Correlation of Scatterometry Sensitivities to Variation in Device Parameters
机译:
散射法灵敏度与设备参数变化的相关性
作者:
Chun-Hung Ko
;
Yi-sha Ku
;
Nigel Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
correlation;
neural network;
precision;
46.
CD-etch depth measurement from advanced phase-shift masks and wafers using optical scatterometry
机译:
使用光学散射仪从先进的相移掩模和晶圆测量CD蚀刻深度
作者:
Kyung m Lee
;
Sanjay Yedur
;
Sven Henrichs
;
Malahat Tavassoli
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
PSM;
CD metrology;
etching;
47.
Scatterfield microscopy using back focal plane imaging with an engineered illumination field
机译:
使用后焦平面成像和工程照明场的散射场显微镜
作者:
Heather J. Patrick
;
Ravikiran Attota
;
Bryan M. Barnes
;
Thomas A. Germer
;
Michael T. Stocker
;
Richard M. Silver
;
Michael R. Bishop
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
back focal plane;
conoscopy;
microscopy;
optical critical dimension metrology;
scatterometry;
semiconductors;
48.
Optical Critical Dimension Measurement and Illumination Analysis Using the Through-focus Focus Metric
机译:
光学临界尺寸测量和照度分析
作者:
Ravikiran Attota
;
Richard M. Silver
;
Michael R. Bishop
;
Ronald G. Dixson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
optical critical dimension (OCD) metrology;
through-focus focus metric;
angular optical illumination;
koehler factor;
49.
Novel Techniques for In-Line Acquisition of Microstructure Profiles
机译:
在线获取微结构轮廓的新技术
作者:
H. Marchman
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
50.
nDSE Based Overlay Alignment: Enabling Technology for Nano Metrology and Fabrication
机译:
基于nDSE的覆盖对准:纳米计量和制造的使能技术
作者:
Jun Gao
;
Carl Picciotto
;
Wei Wu
;
Inkyu Park
;
William M. Tong
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
nDSE;
IDMA;
DDMA;
nano imprint;
alignment;
decentralized fabrication;
51.
Multi-layer Overlay Metrology
机译:
多层叠加计量
作者:
C.P. Ausschnitt
;
J. Morningstar
;
W. Muth
;
J. Schneider
;
R. J. Yerdon
;
L. A. Binns
;
N. P. Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
overlay metrology;
alignment;
lithography;
semiconductor manufacturing;
52.
Metrology Tool Fleet Management: A Comprehensive Discussion of Requirements and Solutions
机译:
计量工具车队管理:需求和解决方案的全面讨论
作者:
Eric Solecky
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
fleet management;
recipe management;
matching;
accuracy;
portability;
53.
Metrology Tool Fleet Management: Applying FMP Tool Matching and Monitoring Concepts to an Overlay Fleet
机译:
计量工具车队管理:将FMP工具匹配和监视概念应用于覆盖车队
作者:
Jennifer Morningstar
;
Eric Solecky
;
Chas Archie
;
Bill Banke
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
overlay;
tool matching;
TMP;
FMP;
offsets;
precision;
slope;
nonlinearity;
54.
Model based calculation of weighting in OPC model calibration
机译:
OPC模型校准中基于模型的加权计算
作者:
Mohamed Talbi
;
Amr Abdo
;
Daniel Fischer
;
Geng Han
;
Scott Mansfield
;
James Oberschmidt
;
Ramya Viswanathan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
model-based OPC;
OPC model calibration;
OPC model weighting;
statistical analysis;
variable threshold resist model;
55.
Investigation on Polarization Monitoring Mask: Pattern Design and Experimental Verification
机译:
偏振监测掩模的研究:图案设计和实验验证
作者:
Chan Hwang
;
Dong-Woon Park
;
Jang-Ho Shin
;
Dong-Seok Nam
;
Suk-Joo Lee
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Joo-Tae Moon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
hyper NA;
polarization;
immersion lithography;
56.
Long-range Nanopositioning and Nanomeasuring Machine for Application to Micro- and nanotechnology
机译:
应用于纳米和纳米技术的远程纳米定位和纳米测量机
作者:
Gerd Jaeger
;
Tino Hausotte
;
Hans-Joachim Buechner
;
Eberhard Manske
;
Ingomar Schmidt
;
Rostyslav Mastylo
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
3D-nanopositioning and nanomeasuring machine;
nanometrology;
nanoprobes;
micro- and nanotechnology;
57.
Local CD Variation in 65nm Node with PSM Processes STI Topography Characterization (I)
机译:
具有PSM的65nm节点中的局部CD变化处理STI拓扑特征(I)
作者:
Yiming Gu
;
Simon Chang
;
Gary Zhang
;
Karen Kirmse
;
Duncan Rogers
;
Leif Olsen
;
John Lewellen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD control;
local CD variation (LCDV);
shallow trench isolation (STI);
step-height (S-H);
alternating phase shifter mask (alt-PSM);
attenuate phase shifter mask (att-PSM);
65nm node;
58.
Line edge roughness on photo lithographic masks
机译:
光刻掩模上的线条边缘粗糙度
作者:
Torben Heins
;
Uwe Dersch
;
Roman Liebe
;
Jan Richter
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
line-edge roughness;
line-width roughness;
measurement algorithms;
scanning electron microscopy;
photo lithographic masks;
59.
In-line Semi-electrical Process Diagnosis Methodology for Integrated Process Window Optimization of 65nm and below Technology Nodes
机译:
用于65nm及以下工艺节点的集成工艺窗口优化的在线半电工艺诊断方法
作者:
Ming-Ta Lei
;
Kok-Hiang Tang
;
Yung-Chih Wang
;
Chia-Hsing Huang
;
Chih-Cherng Jeng
;
Lu-Kai Wang
;
Wei Fang
;
Yan Zhao
;
Jack Jau
;
Chin C. Hsia
;
M. S. Liang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
voltage contrast;
grey level;
SEM;
e-beam inspection;
EBI methodology;
60.
Inline CD Metrology with Combined Use of Scatterometry and CD-SEM
机译:
结合使用散射测量和CD-SEM的在线CD计量
作者:
Masafumi Asano
;
Takahiro Ikeda
;
Toru Koike
;
Hideaki Abe
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD-SEM;
scatterometry;
lot acceptance;
OC curve;
sampling plan;
α-risk;
β-risk;
61.
In-chip overlay metrology
机译:
片内覆盖计量
作者:
Y.S. Ku
;
C.H. Tung
;
Y.P. Li
;
H.L. Pang
;
N.P Smith
;
L. Binns
;
T. Rigden
;
G. Reynolds
;
H. Fink
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
overlay;
optical metrology;
overlay mark;
in-chip;
bar-in-bar;
62.
Impact of Line Width Roughness on Device Performance
机译:
线宽粗糙度对器件性能的影响
作者:
G.F. Lorusso
;
L.H.A. Leunissen
;
C. Gustin
;
A. Mercha
;
M. Jurczak
;
H.M. Marchman
;
A. Azordegan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
63.
Evaluation of Damage Induced by Electron Beam Irradiation to MOS Gate Pattern and Method for Damage-Free Inspection
机译:
电子束辐照对MOS栅极图形造成的损伤评估和无损检查方法
作者:
Miyako Matsui
;
Syuntaro Machida
;
Toshiyuki Mine
;
Kazuyuki Hozawa
;
Kikuo Watanabe
;
Yasushi Goto
;
Jiro Inoue
;
Hiroshi Nagaishi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
damage;
SEM inspection;
voltage contrast;
MOS capacitor;
C-V characteristic;
electron beam;
flat-band voltage;
damage-free;
64.
Embedded Charge Investigation: Industry Concerns and Metrology Solutions
机译:
嵌入式电荷调查:行业关注和计量解决方案
作者:
Eric Solecky
;
Georgios Vakas
;
Chas Archie
;
Ofer Adan
;
Asaf. Dajczman
;
Roger Cornell
;
Paul Llanos
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD-SEM;
CD metrology;
charged wafer;
65.
Enabling DFM and APC Strategies with Advanced Process Metrics
机译:
使用高级流程指标启用DFM和APC策略
作者:
Kevin Monahan
;
Umar Whitney
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
design for manufacturability;
DFM;
advanced process control;
APC;
CD SEM;
scatterometry;
overlay;
ellipsometry;
x-ray emission;
electrical metrology;
lithography simulation;
66.
Characterization of line edge roughness using CD-SAXS
机译:
使用CD-SAXS表征线边缘粗糙度
作者:
Ronald L. Jones
;
Wen-li Wu
;
Cheng-qing Wang
;
Eric K. Lin
;
Kwang-woo Choi
;
Bryan J. Rice
;
George M. Thompson
;
Steven J. Weig
;
Denis T. Keane
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
critical dimension metrology;
line edge roughness;
extreme ultraviolet lithography;
scatterometry;
67.
Characterization of Across-Device Linewidth Variation (ADLV) for 65 nm Logic SRAM Using CDSEM and Linewidth Roughness Algorithms
机译:
使用CDSEM和线宽粗糙度算法表征65 nm逻辑SRAM的跨器件线宽变化(ADLV)
作者:
W. Chu
;
C. Radens
;
B. Dirahoui
;
I. Graur
;
D. Samuels
;
S. Credendino
;
A. Nomura
;
R. Cornell
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
SRAM;
ADLV;
metrology;
68.
Characterization of Critical Dimension Uniformity Through In-situ Detection of Aerial Images in a Scanner
机译:
通过在扫描仪中原位检测航空图像来表征临界尺寸均匀性
作者:
Stefan Hunsche
;
Michael. J. Gassner
;
Yu. Cao
;
Hsin Chang
;
Jeng-Horng Chen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
critical dimension metrology;
CD uniformity;
aerial image;
in-situ inspection;
wafer sensor;
69.
Automatic CD-SEM Offline Recipe Creation for OPC Qualification and Process Monitoring in a DRAM Pilot-Fab Environment
机译:
自动CD-SEM离线配方创建,用于DRAM Pilot-Fab环境中的OPC鉴定和过程监控
作者:
Uwe Kramer
;
Thomas Marschner
;
Dieter Kaiser
;
Marc Winking
;
Christian Stief
;
Stefano Ventola
;
Dan Lewitzki
;
Zamir Abraham
;
Ovadya Menadeya
;
Sam Shukrun
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
70.
Automated CD-SEM Recipe Creation — A New Paradigm in CD-SEM Utilization
机译:
自动化的CD-SEM配方创建-CD-SEM利用的新范例
作者:
Benjamin Bunday
;
William Lipscomb
;
John Allgair
;
Kyoungmo Yang
;
Shunsuke Koshihara
;
Hidetoshi Morokuma
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
ISMI;
SEMATECH;
hitachi;
CD-SEM;
OPC;
optical proximity correction;
DesignGauge;
automatic recipe creation;
design-based metrology;
DBM;
DFM;
71.
Back End of Line Metrology Control Applications Using Scatterometry
机译:
使用散射法的线计量控制后端
作者:
Linda Towidjaja
;
Christopher Raymond
;
Mike Littau
;
Darren Forman
;
Steven G. Hummel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
back end;
optical;
metrology;
CD;
profile;
control;
TMU;
precision;
fleet;
72.
Benchmark comparison of multiple process control strategies for lithographic CD control
机译:
光刻CD控制的多种过程控制策略的基准比较
作者:
Wei Kang
;
John Mao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
feedback control;
stability;
sensitivity;
73.
Accurate In-line CD Metrology for Nanometer Semiconductor Manufacturing
机译:
用于纳米半导体制造的精确在线CD计量
作者:
Baw-Ching Perng
;
Jyu-Horng Shieh
;
S.-M. Jang
;
M.-S. Liang
;
Renee Huang
;
Li-Chien Chen
;
Ruey-Lian Hwang
;
Joe Hsu
;
David Fong
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
CD metrology;
measurement bias;
offset;
accuracy;
CD-AFM;
TEM;
VLSI standard;
CD-SEM;
74.
A Systematic Study of Missing Via Mechanism and its Solutions
机译:
系统缺失的系统研究及其解决方案
作者:
Lei Wang
;
Wei Huang
;
Qiang Wu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
missing via;
defect;
yield enhancement;
photo resist;
photolithography;
75.
A Comprehensive Test of Optical Scatterometry Readiness for 65 nm Technology Production
机译:
用于65 nm技术生产的光散射测量准备情况的综合测试
作者:
Vladimir A. Ukraintsev
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.1》
|
2006年
关键词:
scatterometry;
critical dimension;
bias variation;
fleet precision;
TMU;
AFM;
意见反馈
回到顶部
回到首页