掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE East-West Design & Test Symposium
IEEE East-West Design & Test Symposium
召开年:
2014
召开地:
Kiev(UA)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Video decompression technology in information and communication technologies
机译:
信息和通信技术中的视频解压缩技术
作者:
Ryabukha Yu.
;
Krivonos Vladimir
;
Hahanova Anna
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
discrete cosine transforms;
image reconstruction;
image restoration;
statistical analysis;
video coding;
digital static image reconstruction;
first zero series;
information and communication technologies;
nonuniform DCT spectrum;
scaling components;
statistical code;
transform restoration;
video data compression;
video decompression technology;
wireless technology;
Data compression;
Decoding;
Discrete cosine transforms;
Image coding;
Image reconstruction;
Vectors;
2.
Repair of combinational units
机译:
修理组合单元
作者:
Hahanova Yulia
;
Bayadzhan Armen
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
combinational circuits;
redundancy;
sequential circuits;
combinational units repair;
control automaton;
device structure;
digital system model;
faulty primitives;
operational model;
redundant spare components;
sequential processing combinational primitives;
Automata;
Circuit faults;
Data structures;
Digital systems;
Integrated circuit modeling;
Maintenance engineering;
Vectors;
3.
Qubit modeling digital systems
机译:
Qubit建模数字系统
作者:
Hahanova Irina
;
Emelyanov Igor
;
Amer Tamer Bani
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
data structures;
digital circuits;
network synthesis;
data structures;
fault-free interpretative modelling discrete systems;
qubit modeling digital systems;
Circuit faults;
Data models;
Data structures;
Digital circuits;
Input variables;
Integrated circuit modeling;
Vectors;
4.
The input analog section of the ultrafast ADCs
机译:
超快速ADC的输入模拟部分
作者:
Prokopenko Nikolay N.
;
Butyrlagin N.V.
;
Serebryakov Alexander /I/.
;
Pakhomov I.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
analogue-digital conversion;
comparators (circuits);
optimisation;
active components;
balancing capacitor;
emitter follower;
flash ADC;
input analog section;
parasitic capacitances;
passive components;
response speed;
ultrafast ADC;
Bandwidth;
Capacitance;
Capacitors;
Cutoff frequency;
Educational institutions;
Resistors;
Standards;
5.
The formulation of criteria of BIBO stability of 3rd-order IIR digital filters in space of coefficients of a denominator of transfer function
机译:
传递函数分母系数空间中三阶IIR数字滤波器的BIBO稳定性准则的制定
作者:
Lesnikov V.
;
Naumovich T.
;
Chastikov A.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
IIR filters;
biquadratic filters;
stability;
transfer functions;
BIBO stability;
IIR digital filters;
biquad filters;
transfer function denominator;
triangle of stability;
Digital filters;
Equations;
IIR filters;
Stability criteria;
Transfer functions;
6.
Two-channel real-time steganographic system
机译:
两通道实时密写系统
作者:
Shakurskiy M.V.
;
Shakurskiy V.K.
;
Volovach V.I.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
decoding;
steganography;
contraction mapping;
digital steganographic system;
mistake removing algorithm;
payload decoding;
two-channel real-time steganographic system;
Containers;
Decoding;
Histograms;
Numerical models;
Payloads;
Sensitivity;
Transforms;
7.
The impact of sensors' implementation on lift control system
机译:
传感器的实施对电梯控制系统的影响
作者:
Lupin Sergey
;
Kyaw Kyaw Lin
;
Davydova Anastasia
;
Vagapov Yuriy
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
lifts;
sensors;
AnyLogic system;
agent-based event method;
discrete event method;
lift control system;
sensor;
ten-floor lift system;
Computational modeling;
Control systems;
Floors;
Indexes;
Sensor systems;
8.
The multichannel high-frequency compensation of the analog sections of flash ADCs with the differential input at the cascade connection of the reference resistors
机译:
闪存ADC模拟部分的多通道高频补偿,其差分输入位于参考电阻的级联连接处
作者:
Prokopenko Nikolay N.
;
Serebryakov Alexander /I/.
;
Yugai Vladislav Ya
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
analogue-digital conversion;
frequency response;
resistors;
AS;
analog sections;
analog-to-digital converters;
base block scheme;
capacitance numerical values;
circuit method;
flash ADC;
frequency-response compensation;
multichannel high-frequency compensation;
parasitic capacitors;
reference resistors;
Bandwidth;
Capacitance;
Capacitors;
Educational institutions;
Frequency response;
Patents;
Resistors;
9.
Combinational circuits checking on the base of sum codes with one weighted data bit
机译:
组合电路基于一个加权数据位的和码进行检查
作者:
Sapozhnikov V.
;
Sapozhnikov V.
;
Efanov D.
;
Nikitin D.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
combinational circuits;
distortion;
error correction codes;
Berger code characteristics;
CED systems;
best coding option;
combinational circuits;
concurrent error detection systems;
distortion detection;
on-line testing system;
one weighted data bit;
weight-based sum code features;
Accuracy;
Encoding;
Organizations;
Organizing;
Systematics;
Testing;
Vectors;
10.
Cyber Physical Social Systems - future of Ukraine
机译:
网络体育社会系统-乌克兰的未来
作者:
Hahanov Vladimir
;
Gharibi Wajeb
;
Kudin A.P.
;
Hahanov Ivan
;
Cristopher Ngene
;
Yeve Tiekura
;
Krulevska Daria
;
Yerchenko Anastasya
;
Mishchenko Alexander
;
Shcherbin Dmitry
;
Priymak Aleksey
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Big Data;
Internet of Things;
cloud computing;
parallel processing;
socio-economic effects;
CSS;
Internet of things;
Ukraine;
big data;
competence matrix;
competence metric;
corruption;
cyber physical social systems;
cyber physical space;
cyber social systems;
cyber technology;
digital monitoring technological processes;
economic future;
government agencies;
human-free managing social resources;
independent cloud cyber service;
lifelong monitoring competencies;
material incentives;
optimal resource management;
parallel virtual processors;
private companies;
private individuals;
scalable cloud service;
scalable social groups;
smart everything;
social future;
socially important goals;
staff vacancies;
state program;
technological future;
Companies;
Educational institutions;
Ethics;
Government;
Measurement;
Monitoring;
Personnel;
11.
Cyber physical system - smart cloud traffic control
机译:
网络物理系统-智能云流量控制
作者:
Hahanov Vladimir
;
Gharibi Wajeb
;
Abramova L.S.
;
Chumachenko Svetlana
;
Litvinova Eugenia
;
Hahanova Anna
;
Rustinov Vladimir
;
Miz Vladimir
;
Zhalilo Aleksey
;
Ziarmand Artur
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
cloud computing;
control engineering computing;
digital control;
mobile computing;
road safety;
road traffic control;
traffic engineering computing;
Internet;
cloud-based digital traffic monitoring;
cyber physical system;
digital control;
global systems;
intellectual road infrastructure;
mobile gadgets;
road user coordinates;
screen map;
smart cloud traffic control;
system automaton model;
traffic control;
traffic lights;
vehicle gadget;
vehicle management;
vehicle movement quality;
vehicle movement safety;
virtual cloud space;
Cloud computing;
Companies;
Computers;
Cyberspace;
Monitoring;
Vehicles;
12.
Optimizing test time for core-based 3-d integrated circuits by a technique of bi-partitioning
机译:
通过双分区技术优化基于核的3-d集成电路的测试时间
作者:
Pradhan Manjari
;
Das Debesh K.
;
Giri Chandan
;
Rahaman Hafizur
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
integrated circuit design;
integrated circuit testing;
system-on-chip;
three-dimensional integrated circuits;
SOC;
TAM;
TSV;
bi-partitioning;
core-based 3-D integrated circuits;
embedded cores;
system-on-a-chip;
test access mechanism;
three dimensional stacked integrated circuits;
through silicon vias;
Algorithm design and analysis;
Partitioning algorithms;
System-on-chip;
Testing;
Three-dimensional displays;
Through-silicon vias;
13.
Efficient calculation of cyclic convolution by means of fast Fourier transform in a finite field
机译:
利用有限域中的快速傅里叶变换高效地计算循环卷积
作者:
Amerbaev V.M.
;
Solovyev R.A.
;
Stempkovskiy A.L.
;
Telpukhov D.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
convolution;
fast Fourier transforms;
Proth-type modulo;
binary analogues;
convolutions calculation;
cyclic convolution;
discrete Fourier transform;
fast Fourier transform;
finite field;
integer arithmetic;
modular arithmetic;
vectors convolution;
Convolution;
Discrete Fourier transforms;
Equations;
Finite element analysis;
Galois fields;
Mathematical model;
Pipelines;
14.
A mathematical model for estimating acceptable ratio of test patterns
机译:
用于估计测试模式可接受比率的数学模型
作者:
Janfaza Vahid
;
Foroutan Paniz
;
Forouzandeh Bahjat
;
Haghbayan M.H.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
automatic test pattern generation;
circuit testing;
fault diagnosis;
graph theory;
probability;
sequential circuits;
expectation graph;
fault detection;
probabilistic 4-value system;
sequential circuit testing;
test patterns acceptable ratio;
Circuit faults;
Fault detection;
Logic gates;
Mathematical model;
Probabilistic logic;
Sequential circuits;
Vectors;
15.
Modeling of MOSFETs parameters and volt-ampere characteristics in a wide temperature range for low noise amplifiers design
机译:
在低噪声放大器设计的宽温度范围内对MOSFET参数和伏安特性进行建模
作者:
Pilipenko A.M.
;
Biryukov V.N.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
MOSFET;
low noise amplifiers;
semiconductor device models;
MOSFET parameter modeling;
low noise amplifiers;
temperature 20 K to 300 K;
temperature dependencies;
volt-ampere characteristics;
Accuracy;
Analytical models;
Approximation methods;
MOSFET;
Temperature;
Temperature dependence;
Temperature measurement;
16.
The concept of green Cloud infrastructure based on distributed computing and hardware accelerator within FPGA as a Service
机译:
FPGA即服务中基于分布式计算和硬件加速器的绿色云基础架构的概念
作者:
Yanovskaya O.
;
Yanovsky M.
;
Kharchenko V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
cloud computing;
computer centres;
field programmable gate arrays;
peer-to-peer computing;
software architecture;
FAAS;
FPGA as a service;
FPGA hardware accelerators;
cloud architecture;
cloud computing;
data centers infrastructure;
distributed computing;
distributed peer-to-peer networks;
energy efficiency;
green cloud infrastructure;
Cloud computing;
Computer architecture;
Energy consumption;
Field programmable gate arrays;
Green products;
Hardware;
Power demand;
17.
Using Java optimized processor as an intellectual property core beside a RISC processor in FPGA
机译:
使用Java优化的处理器作为FPGA中RISC处理器之外的知识产权核心
作者:
Khazaee Mohammad Erfan
;
Hoseinzadeh Shima
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Java;
field programmable gate arrays;
microprocessor chips;
program interpreters;
reduced instruction set computing;
virtual machines;
FPGA;
IP cores;
JVM architecture;
Java optimized processor;
Java programming language;
Java virtual machine;
RISC processor;
generic code translation;
hardware implementation;
intellectual property core;
machine-specific instructions;
Central Processing Unit;
Computer architecture;
Hardware;
Java;
Reduced instruction set computing;
Virtual machining;
Bytecode;
Bytecode to Native Instruction Translator;
Hardware JVM;
JOP;
Java Optimized Processor;
Native Code;
Native Instruction;
18.
A new technique for layout based functional testing of modules in Digital Microfluidic Biochips
机译:
基于布局的数字微流控生物芯片模块功能测试新技术
作者:
Roy Pranab
;
Bhattacharya Surya
;
Rahaman Hafizur
;
Dasgupta Parthasarathi
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
biological techniques;
fault diagnosis;
integrated circuit reliability;
integrated circuit testing;
lab-on-a-chip;
microfluidics;
modules;
2D array;
DMFB;
benchmark suite III;
biochemical application;
cells cluster;
clinical diagnostic;
digital microfluidic biochip;
fault detection;
lab on chip device;
laboratory procedure;
layout based functional testing method;
module;
multiple bioassay protocol;
prespecified cell group;
reliability assessment;
safety critical application;
testbench;
Arrays;
Electrodes;
Layout;
Merging;
Microfluidics;
Schedules;
Testing;
Digital microfluidics;
Functional testing;
Modules;
Test completion time;
Test resources;
detection and sensing;
microfluidic functions;
19.
On the synthesis of unidirectional combinational circuits detecting all single faults
机译:
关于检测所有单个故障的单向组合电路的综合
作者:
Sapozhnikov V.
;
Sapozhnikov V.
;
Efanov D.
;
Blyudov A.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
combinational circuits;
error detection codes;
logic design;
network synthesis;
Berger code;
concurrent error detection system;
modulo codes;
single faults;
unidirectional combinational circuits;
unidirectionally independent outputs design;
Boolean functions;
Circuit faults;
Combinational circuits;
Complexity theory;
Frequency modulation;
Logic gates;
Vectors;
20.
Using metamodel of object system for domain-driven design the database structure
机译:
使用对象系统元模型进行域驱动设计数据库结构
作者:
Oleynik Pavel P.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
data structures;
object-oriented databases;
conceptual models;
database application;
database structure;
domain-driven design;
logical models;
object system metamodel;
object-oriented design process;
physical models;
Abstracts;
Databases;
Object oriented modeling;
Unified modeling language;
21.
Expert evaluation model of the computer system diagnostic features
机译:
计算机系统诊断功能的专家评估模型
作者:
Krivoulya G.
;
Shkil A.
;
Kucherenko D.
;
Lipchansky A.
;
Sheremet Ye.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
expert systems;
fault tolerant computing;
fuzzy logic;
DE preparation stage;
EDS;
computer system diagnostic features;
computer system technical state;
diagnostic experiment;
expert diagnostic system;
expert evaluation model;
fuzzy logic;
linguistic variables;
Computers;
Electronic mail;
Fuzzy logic;
Hardware;
Mathematical model;
Software;
Standards;
22.
Comparative analysis of interference immunity of adaptive information transmission system with hybrid spectrum spreading and nonadaptive systems
机译:
混合扩频与非自适应系统相结合的自适应信息传输系统的抗干扰性比较分析
作者:
Nechaev Y.B.
;
Kashenko G.A.
;
Plaksenko O.A.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
radiofrequency interference;
spread spectrum communication;
functioning algorithm simulation model;
hybrid spectrum spreading method;
nonadaptive information transmission systems;
nonadaptive systems;
transceiver structure;
wireless adaptive information transmission system interference immunity;
Adaptation models;
Adaptive systems;
Frequency modulation;
Information processing;
Interference;
Receivers;
23.
Squaring in reversible logic using iterative structure
机译:
使用迭代结构平方可逆逻辑
作者:
Banerjee Adrish
;
Das Debesh K.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
cryptography;
digital signal processing chips;
iterative methods;
logic circuits;
logic design;
multiplying circuits;
synchronisation;
computing square;
cryptography;
digital multipliers;
digital signal processing;
iterative structure;
mathematical computations;
power consumption;
propagation delay;
quantum cost;
reversible circuits;
reversible logic;
squaring techniques;
Adders;
Computer architecture;
Digital signal processing;
Logic gates;
Matrix decomposition;
Quantum computing;
Tin;
Recursion;
Reversible Circuits;
Squarer;
24.
The synthesis of the correction circuit of the high speed sensors of the physical quantities and current-voltage converters with the parasitic capacitance
机译:
具有寄生电容的物理量和电流-电压转换器的高速传感器校正电路的综合
作者:
Prokopenko Nikolay N.
;
Gaiduk A.R.
;
Budyakov P.S.
;
Butyrlagin N.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
convertors;
network synthesis;
sensors;
correction circuit synthesis;
current-voltage converters;
digital information transmission;
high speed sensors;
output resistance;
parasitic capacitance;
Circuit stability;
Sensor phenomena and characterization;
Stability analysis;
Time factors;
Transfer functions;
Transient analysis;
25.
The modeling of electromagnetic fields intensity in urban development condition
机译:
城市发展条件下电磁场强度的建模
作者:
Anishin M.M.
;
Zargano G.F.
;
Zemlyakov V.V.
;
Hondu A.A.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
atmospheric electromagnetic wave propagation;
town and country planning;
Rostov-on-Don;
electromagnetic field intensity;
restriction zones;
sanitary protection zones;
urban development condition;
Antennas;
Buildings;
Cities and towns;
Electromagnetic fields;
Electromagnetic radiation;
Sociology;
Statistics;
26.
Qubit method for diagnosing digital systems
机译:
诊断数字系统的Qubit方法
作者:
Abbas Baghdadi Ammar Awni
;
Dahiri Farid
;
Hahanova Anna
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
computational complexity;
data structures;
formal logic;
matrix algebra;
program diagnostics;
software fault tolerance;
system recovery;
computational complexity;
digital systems diagnosis;
functional failures;
matrix data;
matrix method;
multivalued data structures;
parallel logical operations;
qubit method;
stuck-at faults;
Computational modeling;
Data models;
Digital systems;
Electrical fault detection;
Fault detection;
Vectors;
27.
Analysis and Simulation of temperature-current rise in modern PCB traces
机译:
现代PCB迹线中温度-电流上升的分析和仿真
作者:
Petrosyants Konstantin
;
Kortunov Artur
;
Kharitonov Igor
;
Popov Anton
;
Gomanilova Natalya
;
Rjabov Nikita
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
printed circuit design;
printed circuit testing;
printed circuits;
ANSYS;
ELCUT;
HyperLynxThermal;
modern PCB traces;
temperature-current rise;
thermal behavior;
Analytical models;
Copper;
Current measurement;
Finite element analysis;
Simulation;
Substrates;
Temperature measurement;
28.
Manufacturing scheduling problem based on fuzzy genetic algorithm
机译:
基于模糊遗传算法的制造调度问题
作者:
Gladkov Leonid
;
Gladkova Nadezhda
;
Leiba Sergey
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
fuzzy set theory;
genetic algorithms;
production planning;
scheduling;
fuzzy controller;
fuzzy genetic algorithms;
heuristic rules;
manufacturing planning problem;
manufacturing scheduling problem;
Genetic algorithms;
Job shop scheduling;
Manufacturing;
Organisms;
Planning;
Sociology;
Statistics;
29.
Assessment of survivability of complex control systems using simulation methods
机译:
使用仿真方法评估复杂控制系统的生存能力
作者:
Davydova Anastasia
;
Lupin Sergey
;
Vagapov Yuriy
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
control system analysis computing;
digital control;
digital simulation;
intelligent control;
large-scale systems;
reliability;
complex control systems survivability;
digital control;
electric vehicle charging stations network;
simulation methods;
smart control;
Algorithm design and analysis;
Biological system modeling;
Charging stations;
Control systems;
Object oriented modeling;
Sensors;
Vehicles;
30.
Profiling of MES software requirements for the pharmaceutical enterprise
机译:
制药企业的MES软件需求分析
作者:
Fedoseeva A.
;
Kharchenko V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
formal specification;
pharmaceutical industry;
program diagnostics;
safety-critical software;
PE-MES software requirement profiling;
critical software;
facet-hierarchical structure;
general requirements;
international guidelines;
international standards;
manufacturing execution systems;
normative profile;
pharmaceutical enterprise;
safety assessment;
safety case methodology;
technical reports;
Drugs;
Industries;
Safety;
Software;
Standards;
31.
Microwave selective amplifiers with paraphase output
机译:
具有同相输出的微波选择放大器
作者:
Krutchinsky S.G.
;
Prokopenko N.N.
;
Budyakov P.S.
;
Yugai V.Ya.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Ge-Si alloys;
Q-factor;
microwave parametric amplifiers;
SiGe;
current control;
high Q-factor;
low parametric sensibility;
mathematical analysis;
microwave selective amplifiers;
paraphase output;
phase splitter feature;
quasiresonance frequency;
size 0.25 mum;
voltage gain;
Band-pass filters;
Microwave amplifiers;
Microwave circuits;
Q-factor;
Resonant frequency;
Silicon germanium;
Transistors;
32.
Basic concept of linear synthesis of multi-valued digital structures in linear spaces
机译:
线性空间中多值数字结构的线性合成的基本概念
作者:
Chernov Nikolay /I/.
;
Yugai Vladislav Ya
;
Prokopenko Nikolay N.
;
Butyrlagin N.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
linear algebra;
logic design;
multivalued logic;
ME building;
computer equipment;
linear algebra;
linear spaces;
linear synthesis;
logical synthesis;
multivalued digital structures;
multivalued elements;
Buildings;
Computers;
Educational institutions;
Maintenance engineering;
Mirrors;
Vectors;
33.
Set covering on the basis of the ant algorithm
机译:
根据ant算法设置覆盖
作者:
Lebedev B.K.
;
Lebedev O.B.
;
Lebedeva E.M.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
VLSI;
ant colony optimisation;
integrated circuit layout;
VLSI layout design;
adaptive ant colony algorithm;
boundary matrix;
mathematical methods;
search process;
set covering problem;
solution-making natural mechanism;
solutions space;
very large scale integration;
Algorithm design and analysis;
Automation;
Computer science;
Educational institutions;
Layout;
Search problems;
Vectors;
34.
Malicious hardware: Characteristics, classification and formal models
机译:
恶意硬件:特征,分类和形式模型
作者:
Gorbachov Valeriy
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
authorisation;
embedded systems;
invasive software;
MH characteristics;
MH formal models;
action classification;
electronic systems;
malicious hardware;
malicious inclusions;
security threat;
subject-object concept;
unauthorized access;
Availability;
Hard disks;
Hardware;
Information security;
Integrated circuit modeling;
Switches;
35.
The linear logic synthesis of k-valued digital structures in the analogous circuitry basis
机译:
基于类似电路的k值数字结构的线性逻辑综合
作者:
Prokopenko Nikolay N.
;
Chernov Nikolay /I/.
;
Yugai Vladislav Ya
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
linear network synthesis;
logic circuits;
logic gates;
analogous circuitry basis;
computer engineering;
k-valued digital structures;
k-valued elements;
k-valued logical gates;
linear logic synthesis;
Analog circuits;
Boolean algebra;
Educational institutions;
Logic gates;
Vectors;
36.
The levels of target resources development in computer systems
机译:
计算机系统中目标资源开发的水平
作者:
Drozd J.
;
Drozd A.
;
Maevsky D.
;
Shapa L.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
computer software;
green computing;
computer system development levels;
green technology development;
resource development levels;
target resources development;
Abstracts;
Computational modeling;
Computers;
Graphics processing units;
Lead;
Reliability engineering;
37.
The cooperative human-machine interfaces for cloud-based advanced driver assistance systems: Dynamic analysis and assurance of vehicle safety
机译:
基于云的高级驾驶员辅助系统的协作人机界面:动态分析和车辆安全保证
作者:
Kharchenko V.
;
Orehov Alexandr
;
Brezhnev Eugene
;
Orehova Anastasiya
;
Manulik Viacheslav
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
cloud computing;
driver information systems;
electronic data interchange;
human computer interaction;
intelligent transportation systems;
road accidents;
road safety;
CC;
CHMI;
HMI;
ITS;
active safety;
advanced driver assistance systems;
cloud computing;
cloud-based advanced driver assistance systems;
driver state;
dynamic analysis;
human-machine interfaces;
intelligent transportation systems;
potential danger zone;
rapid data exchange;
safe cooperative human-machine interfaces;
traffic situation;
vehicle accidents;
vehicle safety assurance;
Cloud computing;
Man machine systems;
Real-time systems;
Roads;
Safety;
Vehicle dynamics;
Vehicles;
38.
Theory of bionic optimization and its application to evolutionary synthesis of digital devices
机译:
仿生优化理论及其在数字设备进化合成中的应用
作者:
Rodzin Sergey
;
Rodzina Lada
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
circuit optimisation;
computational complexity;
digital circuits;
evolutionary computation;
field programmable gate arrays;
polynomials;
bionic optimization;
digital devices;
electronic devices;
evolutionary synthesis algorithms;
parametric synthesis;
polynomial evaluation;
structural synthesis;
time complexity;
Algorithm design and analysis;
Biological system modeling;
Linear programming;
Optimization;
Search problems;
Sociology;
Statistics;
39.
Neighborhood research approach in swarm intelligence for solving the optimization problems
机译:
群体智能的邻域研究方法解决优化问题
作者:
Kuliev E.V.
;
Dukkardt A.N.
;
Kureychik V.V.
;
Legebokov A.A.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
VLSI;
genetic algorithms;
integrated circuit layout;
particle swarm optimisation;
VLSI components placement problem;
circular search;
genetic algorithm;
hybrid search method;
neighborhood research;
neighborhood search;
optimization problems;
proximity function;
swarm algorithms;
swarm intelligence;
Algorithm design and analysis;
Educational institutions;
Genetic algorithms;
Search problems;
Sociology;
Statistics;
Very large scale integration;
40.
Design of low-ripple multi-topology step-down switched capacitor power converter with adaptive control system
机译:
具有自适应控制系统的低纹波多拓扑降压开关电容功率变换器的设计
作者:
Melikyan Vazgen
;
Galstyan Vache
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
CMOS integrated circuits;
adaptive control;
integrated circuit design;
power convertors;
power integrated circuits;
switched capacitor networks;
CMOS process;
adaptive control system;
frequency control;
low-ripple multitopology step-down switched capacitor;
multitopology step-down switched-capacitor converter;
power converter;
size 40 nm;
time 50 ns;
voltage 24 mV;
Capacitance;
Switches;
Switching circuits;
Topology;
Video recording;
Voltage control;
CMOS;
Fast Switching Limit (FSL);
Pulse Frequency Modulation;
Slow Switching Limit (SSL);
power converter;
41.
Construction of adaptive artificial boundary conditions using the invariant ratios for Schr??dinger equation
机译:
使用不变比的Schr ?? dinger方程构造自适应人工边界条件
作者:
Trofimov Vyacheslav /A/.
;
Trykin Evgeny M.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Schrodinger equation;
finite difference methods;
nonlinear differential equations;
adaptive artificial boundary conditions;
computer simulation;
instantaneous frequency;
invariant ratios;
local wave number;
nonlinear 1D Schrodinger equation;
zero value boundary condition;
Artificial intelligence;
Boundary conditions;
Computer simulation;
Equations;
Finite difference methods;
Laser beams;
Mathematical model;
42.
Modified fast PCA algorithm on GPU architecture
机译:
在GPU架构上修改的快速PCA算法
作者:
Melikyan Vazgen
;
Osipyan Hasmik
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
face recognition;
graphics processing units;
principal component analysis;
GPU architecture;
MFPCA algorithm;
face recognition task;
image data;
modified version of fast PCA algorithm;
parallel computation;
principal component analysis;
Algorithm design and analysis;
Approximation algorithms;
Computer architecture;
Face recognition;
Graphics processing units;
Kernel;
Principal component analysis;
43.
Hybrid history-based test overlapping to reduce test application time
机译:
基于混合历史记录的测试重叠,以减少测试应用时间
作者:
Janfaza Vahid
;
Forouzandeh Bahjat
;
Behnam Payman
;
Najafi Mohammadreza
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
automatic test pattern generation;
logic testing;
sequential circuits;
ATPG;
automatic test pattern generation;
hybrid history-based test overlapping method;
scan methods;
scan-based sequential circuits;
sequential circuit testing;
test time reduction;
Circuit faults;
Hybrid power systems;
Registers;
Sequential circuits;
TV;
Testing;
Vectors;
44.
Partially programmable circuit design
机译:
部分可编程电路设计
作者:
Matrosova A.
;
Ostanin S.
;
Kirienko I.
;
Singh V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
fault diagnosis;
logic design;
logic gates;
logic testing;
table lookup;
LUTs;
arbitrary gate faults;
configurable logic block;
gate fault masking;
gate poles;
logical circuit;
look up table;
partially programmable circuit design;
reserved blocks CLB;
stuck-at faults;
Boolean functions;
Circuit faults;
Combinational circuits;
Data structures;
Logic gates;
Multiplexing;
Vectors;
45.
Temperature aware test scheduling by modified floorplanning
机译:
通过修改平面布置图进行温度感知的测试计划
作者:
Rawat Indira
;
Gupta M.K.
;
Singh V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
cooling;
integrated circuit layout;
integrated circuit testing;
scheduling;
system-on-chip;
three-dimensional integrated circuits;
benchmark circuit d695;
cooling methods;
floorplanning;
heat generation;
power density;
remperature aware test scheduling;
semiconductor industry;
three dimensional SoC;
vertical stacking;
Density measurement;
Heating;
Integrated circuit interconnections;
Power system measurements;
System-on-chip;
Testing;
Three-dimensional displays;
46.
Broken bar fault diagnosis for induction machines under load variation condition using discrete wavelet transform
机译:
基于离散小波变换的感应电机负荷变化断条故障诊断。
作者:
Pu Shi
;
Zheng Chen
;
Vagapov Yuriy
;
Davydova Anastasia
;
Lupin Sergey
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
discrete wavelet transforms;
fault diagnosis;
mathematical analysis;
squirrel cage motors;
broken bar fault diagnosis;
broken rotor bar fault detection;
discrete wavelet transform;
induction machines;
load variation condition;
mathematical model;
squirrel cage induction motors;
stator current;
varying load conditions;
winding function approach;
Bars;
Indexes;
Induction motors;
Rotors;
Stators;
Torque;
47.
Partitioning of ECE schemes components based on modified graph coloring algorithm
机译:
基于改进图着色算法的ECE方案组件划分
作者:
Kureichik V.V.
;
Kureichik V.V.
;
Zaruba D.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
computational complexity;
electronic engineering computing;
graph colouring;
optimisation;
polynomials;
ECE scheme components;
NP-hard problems;
colored subsets;
component partitioning problem;
design problems;
electronic computing equipment schemes;
modified graph coloring heuristic algorithm;
optimization criterion;
partitioning algorithms running time;
polynomial time;
program environment;
theoretical estimations;
Algorithm design and analysis;
Color;
Educational institutions;
Merging;
Partitioning algorithms;
Polynomials;
Software algorithms;
48.
Extending fault periodicity table for testing faults in memories under 20nm
机译:
扩展故障周期表以测试20nm以下的存储器中的故障
作者:
Harutyunyan G.
;
Shoukourian S.
;
Vardanian V.
;
Zorian Y.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
MOSFET circuits;
built-in self test;
integrated circuit reliability;
integrated circuit testing;
storage management chips;
FPT;
FinFET-based memories;
fault periodicity table;
fault sensitization complexity;
fault testing;
memory BIST infrastructure;
memory faults;
size 14 nm;
size 16 nm;
size 20 nm;
test algorithms;
Buildings;
Built-in self-test;
Complexity theory;
FinFETs;
Logic gates;
Random access memory;
FinFET;
March test;
built-in self-test;
fault periodicity;
49.
Dual interpolating counter architecture for atomic clock comparison
机译:
双插值计数器架构,用于原子时钟比较
作者:
Dostal Jiri
;
Smotlacha Vladimir
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
atomic clocks;
calibration;
field programmable gate arrays;
interpolation;
wavelength division multiplexing;
DWDM;
FPGA;
atomic clock;
dense wavelength division multiplexing;
dual interpolating counter architecture;
embedded time interval counter design;
optical line network utilization;
run time interpolator feed calibration;
time transfer method;
Atomic clocks;
Delay lines;
Delays;
Optical fiber networks;
Radiation detectors;
50.
Selftest ADCs for smart sensors
机译:
用于智能传感器的自测ADC
作者:
Krutchinsky Sergei G.
;
Zhebrun Evgeniy /A/.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
analogue-digital conversion;
automatic testing;
intelligent sensors;
binary words;
common additive sequence;
energy quantization;
input signal conversion accuracy;
parametric conditions;
pulse-potential testing;
quantity calculation;
selftest ADC;
smart sensors;
support circuit design tasks solutions;
Accuracy;
Phase measurement;
Pulse measurements;
Semiconductor device measurement;
System-on-chip;
Testing;
Voltage measurement;
51.
Representation of solutions in genetic VLSI placement algorithms
机译:
遗传VLSI放置算法中解决方案的表示
作者:
Zaporozhets D.U.
;
Zaruba D.V.
;
Kureichik V.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
VLSI;
computational complexity;
genetic algorithms;
integrated circuit design;
trees (mathematics);
VLSI placement problem;
decoding algorithm;
encoding algorithm;
genetic algorithm implementation;
placement tree;
reverse Polish notation;
time complexity;
time-response characteristics;
Algorithm design and analysis;
Biological cells;
Decoding;
Educational institutions;
Encoding;
Genetic algorithms;
Very large scale integration;
52.
The correction circuits for the broadband resistive voltage dividers with the capacitive load
机译:
带电容负载的宽带电阻分压器的校正电路
作者:
Prokopenko Nikolay N.
;
Budyakov P.S.
;
Butyrlagin N.V.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
attenuators;
voltage dividers;
analog-to-digital converters;
attenuators;
broadband resistive voltage dividers;
capacitive load;
classical AT implementation;
constant cutoff frequency;
high-frequency correction circuit;
telecommunication line drivers;
transfer ratio;
Attenuators;
Bandwidth;
Broadband communication;
Capacitance;
Capacitors;
Resistance;
Resistors;
53.
An efficient signature loading mechanism for memory repair
机译:
一种有效的内存修复签名加载机制
作者:
Sargsyan Vrezh
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
built-in self test;
integrated circuit reliability;
integrated circuit testing;
storage management chips;
BISR;
BIST;
built-in self-repair;
built-in self-test;
embedded memories test;
hard repair flow;
memory repair organization time reduction;
memory repair signature delivery process;
memory repair signature loading mechanism;
Built-in self-test;
Containers;
IP networks;
Loading;
Maintenance engineering;
Registers;
System-on-chip;
54.
Method of free C++ code migration between SoC level tests and standalone IP-Core UVM environments
机译:
在SoC级测试和独立IP核UVM环境之间进行免费C ++代码迁移的方法
作者:
Putrya Fedor
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
C++ language;
integrated circuit testing;
logic circuits;
microprocessor chips;
system-on-chip;
C++ code migration;
IP-core integration verification;
IP-core standalone level verification code;
SoC level test debugging;
embedded CPU;
hardware-software coverification;
intellectual property core intellectual property core;
standalone IP-core UVM environment;
system-on-chip;
universal verification methodology;
Debugging;
Hardware;
Prototypes;
Registers;
Software;
System-on-chip;
Workstations;
55.
Adaptive artificial boundary conditions for Schr??dinger equation taking into account the first order dispersion of laser pulse and diffraction of laser beam
机译:
考虑激光脉冲的一阶色散和激光束衍射的Schr ?? dinger方程自适应人工边界条件
作者:
Trofimov Vyacheslav /A/.
;
Denisov Anton D.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Schrodinger equation;
finite difference methods;
iterative methods;
laser beams;
light diffraction;
nonlinear equations;
optical dispersion;
3D+1D nonlinear Schrodinger equation;
adaptive artificial boundary conditions;
computer simulation;
conservative finite-difference scheme;
first order laser beam diffraction;
first order laser pulse dispersion;
local wave number;
optical radiation evolution;
split-step method;
two-step iterative process;
zero-value boundary conditions;
Boundary conditions;
Computer simulation;
Equations;
Finite difference methods;
Iterative methods;
Laser beams;
Mathematical model;
56.
Control vector structure for circuit optimization
机译:
用于电路优化的控制矢量结构
作者:
Zemliak A.
;
Reyes F.
;
Markina T.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Lyapunov methods;
circuit CAD;
circuit optimisation;
functional analysis;
optimal control;
Lyapunov function;
circuit optimization;
control vector switch point structure;
controllable dynamic process;
electronic network optimization;
electronic system design process;
functional minimization;
minimal computer time;
minimal time system design algorithm;
minimal transition time;
optimal control theory approach;
transition process;
Algorithm design and analysis;
Computers;
Mathematical model;
Optimization;
Process control;
Switches;
Vectors;
57.
Self-testing checker design for incomplete m-out-of-n codes
机译:
不完整的n出n码的自检检查器设计
作者:
Butorina N.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
codes;
field programmable gate arrays;
logic design;
logic testing;
FPGA realization;
STC;
codewords;
incomplete m-out-of-n codes;
self-testing checker design;
Built-in self-test;
Circuit faults;
Computers;
Educational institutions;
Field programmable gate arrays;
Single event upsets;
58.
Testing of transport system management strategy
机译:
测试运输系统管理策略
作者:
Lupin Sergey
;
Shein Than
;
Kyaw Kyaw Lin
;
Davydova Anastasia
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
object-oriented methods;
traffic engineering computing;
transportation;
AnyLogic software platform;
competitive environment;
criterion function;
object-oriented structure;
transport company management systems;
transport system management strategy;
Analytical models;
Cities and towns;
Companies;
Control systems;
Load modeling;
Object oriented modeling;
Vehicles;
59.
Active-mode leakage power optimization using state-preserving techniques
机译:
使用状态保持技术的有源模式泄漏功率优化
作者:
Korshunov Andrey V.
;
Volobuev Pavel S.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
flip-flops;
leakage currents;
low-power electronics;
optimisation;
power consumption;
active-mode leakage power optimization;
clock gating;
control logic;
flip-flops;
leakage currents;
logic states;
power consumption;
power gating;
power reduction;
state-preserving techniques;
timing overheads;
CMOS integrated circuits;
Clocks;
Delays;
Flip-flops;
Leakage currents;
Logic gates;
Transistors;
60.
The precision voltage references for the radiation-hardened bi-FET technological process
机译:
辐射硬化的Bi-FET工艺流程的精密电压基准
作者:
Starchenko Evgeniy /I/.
;
Prokopenko Nikolay N.
;
Yugai Vladislav Ya
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
field effect transistors;
monolithic integrated circuits;
p-n junctions;
radiation hardening (electronics);
reference circuits;
DS;
VR;
differential stage;
negative temperature drift;
p-n-junction;
p-type channel;
positive temperature drift;
precision voltage references;
radiation-hardened bi-FET technological process;
voltage power supply;
Field effect transistors;
Photonic band gap;
Resistance;
Resistors;
Temperature;
Temperature dependence;
61.
On fuzzy expert system development using computer-aided software engineering tools
机译:
使用计算机辅助软件工程工具的模糊专家系统开发
作者:
Polkovnikova N.A.
;
Kureichik V.M.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
computer aided software engineering;
data mining;
diesel engines;
expert systems;
fuzzy logic;
man-machine systems;
marine engineering;
mechanical engineering computing;
program diagnostics;
query processing;
software tools;
automated diagnostic systems;
automated information systems;
computer-aided software engineering tools;
data mining technology;
data mining tools;
database processing queries;
expert system architecture;
fuzzy expert system development;
fuzzy logic;
information monitoring;
knowledge bases;
marine diesel engines;
specialized intelligent human-machine systems;
Diesel engines;
Expert systems;
Fuzzy logic;
Monitoring;
Pragmatics;
62.
SPICE model parameters extraction taking into account the ionizing radiation effects
机译:
考虑电离辐射效应的SPICE模型参数提取
作者:
Petrosyants Konstantin
;
Kozhukhov Maxim
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
SPICE;
bipolar transistors;
gamma-ray effects;
semiconductor device models;
BJT;
HBT;
SPICE model parameters extraction;
Si;
SiGe;
bipolar junction transistor;
heterojunction bipolar transistor;
ionizing radiation effects;
radiation dependent parameters extraction;
total irradiation dose effects;
universal SPICE macromodel;
Equations;
Heterojunction bipolar transistors;
Mathematical model;
Parameter extraction;
Radiation effects;
SPICE;
Silicon germanium;
63.
Resistance calibration method without external precision elements
机译:
无需外部精密元件的电阻校准方法
作者:
Melikyan Vazgen
;
Sahakyan Arthur
;
Piloyan Mikayel
;
Hovhannisyan Gagik
;
Shishmanyan Aram
;
Hovhannisyan Taron
;
Trdatyan Davit
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
calibration;
electric resistance measurement;
transmission lines;
DDR;
PCI;
PVT compensated termination impedance;
USB;
accuracy precision discreet resistance;
calibration code;
double data rate;
external precision element;
peripheral component interconnect;
process voltage and temperature;
resistance 50 ohm;
resistance calibration method;
technologically accurate element;
transmission line;
universal serial bus;
Accuracy;
Calibration;
Capacitors;
Receivers;
Reflection;
Resistance;
Resistors;
comparator (COMP);
compensation;
multiplexor (MUX);
precision;
process, voltage and temperature (PVT);
receiver (RX);
reflection;
resistance calibration;
termination;
transmitter (TX);
64.
Deriving complete finite tests based on state machines
机译:
基于状态机得出完整的有限测试
作者:
Burdonov Igor
;
Kossatchev Alexander
;
Yevtushenko Nina
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
finite state machines;
fault coverage;
finite fault domain;
finite tests;
infinite faults domains;
state machine-based strategy;
Automata;
Chaos;
Programming;
Safety;
Semantics;
Software;
Testing;
65.
Threshold method of measurement of extended objects speed of radio engineering devices of short-range detection
机译:
无线电工程设备近距离检测扩展对象速度的阈值测量方法
作者:
Artyushenko V.M.
;
Volovach V.I.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
numerical analysis;
object detection;
phase noise;
signal detection;
velocity measurement;
amplitude threshold;
extended object movement;
numerical analysis;
phase noise spectral components;
radio engineering devices;
short-range detection;
spectral density exponential reduction;
speed estimation dispersion;
speed measurement threshold method;
Correlation;
Demodulation;
Doppler effect;
Frequency estimation;
Phase noise;
Time-frequency analysis;
66.
Discovering new indicators for botnet traffic detection
机译:
发现僵尸网络流量检测的新指标
作者:
Adamov Alexander
;
Hahanov Vladimir
;
Carlsson Anders
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
invasive software;
IDS system;
backdoor communication protocol;
botnet traffic detection;
cyber weapon;
cyber zombies;
cyber-attack;
individual cyberspace;
infected computer;
intrusion detection system;
security industry;
Encryption;
IP networks;
Protocols;
Servers;
Trojan horses;
IDS;
Indicator-of-Compromise;
Individual Cyberspace;
botnet;
detection;
encryption;
signature;
traffic;
67.
Communication with smart transformers in rural settings
机译:
与农村地区的智能变压器通信
作者:
Verster Cornel
;
Tomlinson M.
;
Beukes Johan
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
distribution networks;
power transformers;
protocols;
smart power grids;
DNP3;
Distributed Network Protocol version 3;
FTP;
HTTP;
SNMP;
communication device;
communication infrastructure;
file transfer protocol;
hypertext transfer protocol;
rural distribution smart transformers;
simple network monitoring protocol;
smart-grid-enabled transformers;
Monitoring;
Oil insulation;
Protocols;
Robustness;
Servers;
Smart grids;
Virtual private networks;
68.
Smart traffic light in terms of the cognitive road traffic management system (CTMS) based on the Internet of Things
机译:
基于物联网的认知道路交通管理系统(CTMS)的智能交通灯
作者:
Miz Vladimir
;
Hahanov Vladimir
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Internet of Things;
road traffic control;
traffic information systems;
CTMS;
Internet of Things;
cognitive road traffic management system;
e-government system;
government authorities;
smart traffic light integration;
system deployment;
system development;
telecommunication technologies;
traffic management process optimization;
Big data;
Cities and towns;
Companies;
Internet of Things;
Real-time systems;
Roads;
Vehicles;
69.
Combinational part structure simplification of fully delay testable sequential circuit
机译:
完全延迟可测试时序电路的组合零件结构简化
作者:
Matrosova A.
;
Mitrofanov E.
;
Roumjantseva E.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
logic design;
logic testing;
sequential circuits;
ROBDD;
corrected free BDD;
delay testability;
delay testable sequential circuit;
sequential circuit design;
Boolean functions;
Circuit faults;
Data structures;
Delays;
Logic gates;
Robustness;
Sequential circuits;
70.
Mobile health applications to support diabetic patient and doctor
机译:
移动健康应用程序支持糖尿病患者和医生
作者:
Petrenko A.I.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
Internet;
cloud computing;
diseases;
electronic health records;
health care;
medical computing;
mobile computing;
patient care;
patient treatment;
planning;
sugar;
EHR;
Web applications;
application management;
cloud resources;
diabetes patient;
diabetes sufferers;
doctor;
electronic health records;
glucose meter;
healthcare;
management services;
mobile health applications;
patient care;
patient treatment services;
treatment plan;
Diabetes;
Mobile communication;
Monitoring;
Servers;
Sugar;
Web services;
71.
Method for diagnosing SoC HDL-code
机译:
SoC HDL代码的诊断方法
作者:
Hahanov Vladimir
;
Zaychenko Sergey
;
Varchenko Valeria
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
fault diagnosis;
hardware description languages;
program diagnostics;
system-on-chip;
trees (mathematics);
SoC HDL-code diagnosis;
activation matrix analysis;
code-flow transaction graph;
diagnosis matrix;
digital system model creation;
embedded hardware fault diagnosis;
fault detection tables multitree;
faulty block detection;
synthesis logic functions;
ternary matrices;
Digital systems;
Engines;
Hardware;
Monitoring;
Software;
Testing;
Vectors;
72.
Decomposition tree - based compaction procedure with iteration steps for interconversional layouts of tasks
机译:
基于分解树的压缩过程,带有迭代步骤,用于任务间转换
作者:
Andreeva Valentina
;
Sorudeykin Kirill /A/.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
circuit testing;
iterative methods;
network synthesis;
trees (mathematics);
Local Don't Care sets;
decomposition tree-based compaction procedure;
iteration steps;
Abstracts;
Ducts;
Synchronization;
Decomposition tree;
algebraic system;
boundary condition;
compaction procedure;
local don't care set;
partially specified vector;
test cube;
73.
Combinational circuits without false paths
机译:
组合电路,无虚假路径
作者:
Matrosova A.
;
Kudin D.
;
Nikolaeva E.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
combinational circuits;
logic design;
combinational circuit design;
false paths;
Boolean functions;
Circuit faults;
Combinational circuits;
Data structures;
Design methodology;
Logic gates;
Sufficient conditions;
Reed-Muller expression;
binary decision diagram (BDD);
disjoint sum of products (DSoP);
false path;
irredundant sum of products (irredundant SoP);
path delay fault (PDF);
74.
Multichannel Fast Affine Projection algorithm with Gradient Adaptive Step-Size and fast computation of adaptive filter output signal
机译:
具有梯度自适应步长的多通道快速仿射投影算法和自适应滤波器输出信号的快速计算
作者:
Djigan Victor /I/.
会议名称:
《IEEE East-West Design Test Symposium》
|
2014年
关键词:
adaptive filters;
affine transforms;
gradient methods;
adaptive filter output signal;
computational procedure;
feedbackward active noise control system;
feedforward active noise control system;
gradient adaptive step size;
multichannel fast affine projection algorithm;
sliding window linear prediction;
Adaptive filters;
Algorithm design and analysis;
Complexity theory;
Microphones;
Noise;
Prediction algorithms;
Vectors;
意见反馈
回到顶部
回到首页