掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore
Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
信息技术
信息网络
山东通信技术
飞通光电子技术
中国数字电视
通信与信息技术
中国电子杂志(英文版)
电子学报
通讯世界
移动信息
更多>>
相关外文期刊
Wireless LAN
IETE Journal of Education
Telesis
Active and Passive Electronic Components
International Journal of Wireless Networks and Broadband Technologies
SMPTE Journal
International journal of RF technologies: research and applications
Telecommunication Journal of Australia
International Journal of Ultra Wideband Communications and Systems
Electronic Engineering Times
更多>>
相关中文会议
中国电子学会元件分会第十届学术会议
2006年全国电子显微学会议
中国电子学会光电对抗与无源干扰专业委员会第十届学术年会
第十三届全国化合物半导体材料、微波器件和光电器件学术会议暨第九届全国固体薄膜学术会议
第九届全国超导薄膜和超导电子器件学术会议
第六届中国信息和通信安全学术会议(CCICS'2009)
'2001天津信息技术、电子仪器仪表学术会议
北京通信学会2009年无线及移动通信研讨会
第四届全国建设事业IC卡应用和技术发展研讨会
中国电子学会可靠性分会第十一届学术年会
更多>>
相关外文会议
Photonics and optoelectronics meetings;Conference on terahertz science and technology;POEM; 20081124-27;20081124-27;20081124-27; Wuhan(CN);Wuhan(CN);Wuhan(CN)
Symposium Proceedings vol.895; Symposium on Life-Cycle Analysis Tools for 'Green' Materials and Process Selection; 20051128-30; Boston,MA(US)
1st international conference on designing interactive user experiences for TV and Video 2008
Wireless Communication, Vehicular Technology, Information Theory and Aerospace & Electronic Systems Technology, 2009. Wireless VITAE 2009
Asian Accelerator School on Physics and Engineering of High-Performance Electron Storage Rings and Application of Superconducting Technology Nov 22-Dec 4, 1999 Huairou and Beijing, China
Vertical-Cavity Surface-Emitting Lasers X
Damping and Isolation Mar 3-5, 2003 San Diego, California, USA
Fiber lasers VII: Technology, systems, and applications
Ad-Hoc, Mobile, and Wireless Networks
Conference on Semiconductor Lasers and Applications; 20071112-14; Beijing(CN)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
YIELD ENHANCEMENT THROUGH OPTIMIZATION OF VIA ETCH AND POST ETCH WET CLEAN IN SiLK DUAL DAMASCENE TECHNOLOGY DEVELOPMENT
机译:
通过Silk双Damascene技术开发中的蚀刻和蚀刻后湿清洁的优化来增强产量
作者:
B. Ramana Murthy
;
Chang Chang Kuo
;
Chen Xian Tong
;
Moitreyee M-Roy
;
Tan Yong Tsong
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
2.
TO IMPROVE UNIFORMITY OF THERMAL BUDGET AND FILM QUALITY IN BATCH TYPE PROCESS BY ADDING IN-SITU ANNEAL
机译:
通过添加原位附件来提高批处理过程中热预算和电影质量的一致性
作者:
P. Lin
;
J
;
Lee
;
A. Ku
;
L.F. Wang
;
S. Chang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
3.
EVALUATION OF LOW-K POROUS SILICA FILMS INCORPORATED WITH ETHYLENE GROUPS
机译:
含乙烯基的低k多孔硅膜的评价
作者:
Yasutaka Uchida
;
Takashi Katoh
;
Masako Oikawa
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
4.
STUDY OF THERMAL STABILITY OF THE POROUS SiLK~(TM)
机译:
多孔SiLK〜(TM)的热稳定性研究
作者:
L.Y. Yang
;
D.H. Zhang
;
P.D. Foo
;
C.Y Li
;
Wu Shaoyu
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
porous SiLK~(TM);
thermal stability;
ultra low k;
5.
The XRD (X-Ray Diffraction) Data Comparison for WC VD Nucleation Layer
机译:
WC VD成核层的XRD(X射线衍射)数据比较
作者:
Bai-rou Ni
;
Cheng-sung Huang
;
Jason Chen
;
Wen-pin Chiu
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
6.
The leakage current study of Cu/silica xerogel damascene structure with nano-cluster TaSix barrier
机译:
纳米簇TaSix势垒的Cu /二氧化硅干凝胶镶嵌结构的漏电流研究
作者:
Chung-Hsien Chen
;
Chin-Piao Chang
;
Ming-Shih Tsai
;
Fon-Shan Huang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
7.
Cost Reduction of Tungsten CMP by Using Slurry Dilution in Foundry Productions
机译:
在铸造厂中使用稀浆稀释法降低钨CMP的成本
作者:
Floyd Fang
;
C.J. Huang
;
Li-Hsuan Chen
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
8.
COPPER/LOWK CHEMICAL MECHANICAL PLANARIZATION GAINS MOMENTUM~(TM)
机译:
铜/氯化学机械平面化获得动量〜
作者:
Saket Chadda
;
Jim Schlueter
;
Sanjay Basak
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
9.
Copper Ion Drift Behavior in a Ultra Low Dielectric Constant Polymer
机译:
超低介电常数聚合物中的铜离子漂移行为
作者:
S. W. Lee
;
H. K. Kim
;
Y. C. Lin
;
Y. N. Kuo
;
B. Zhao
;
F. G. Shi
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
10.
CMP REMOVAL PROFILE CAPABILITY VIA MULTI-ZONE POLISHING HEADS AND ADVANCED PROCESS CONTROL
机译:
通过多区域抛光头和高级过程控制实现CMP去除轮廓的功能
作者:
Sidney Huey
;
Chad Garretson
;
Jun Qian
;
Brian Lusher
;
Steve Mear
;
Haoquan Fang
;
Siva Dhandapani
;
Annabel Nickels
;
Robert Tolles
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
11.
CHEMICALLY ENHANCED CU POLISHING WITH ABRASIVE-FREE 'MICELLE SLURRY'
机译:
化学增强的铜抛光,无磨料的“ MICELLE SLURRY”
作者:
Hideaki Takahashi
;
Toshiroh K. Doy
;
Muneaki Tsurugaya
;
Takayuki Matsuda
;
Kuon Miyazaki
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
12.
Characterization of α-Ta diffusion barrier for Copper Metallization
机译:
铜金属化过程中α-Ta扩散势垒的表征
作者:
Z. L. Yuan
;
D. H. Zhang
;
C. Y. Li
;
K. Prasad
;
C. M. Tan
;
P.W. Lu
;
J. L. Xie
;
Rakesh Kumar
;
P. D. Foo
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
13.
AN OVERVIEW OF FIXED ABRASIVES FOR CMP
机译:
CMP固定磨料概述
作者:
Kevin Teo
;
John Gagliardi
;
Jeffrey Kollodge
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
14.
A STUDY ON SURFACE ROUGHNESS ON THE VIA SIDE-WALLS IN LOW-K ORGANIC POLYMER FILM ETCHING IN DUAL-DAMASCENE TECHNOLOGY DEVELOPMENT
机译:
双大马士革技术发展中低K有机聚合物膜刻蚀中侧壁表面粗糙度的研究
作者:
B. Ramana Murthy
;
Chang Chang Kuo
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
15.
Advanced Low Pressure Source (ALPS) cobalt leposition and silicidation for sub-0.13 μm devices
机译:
小于0.13μm器件的高级低压源(ALPS)钴沉积和硅化
作者:
V. Fortin
;
K.C. Wu
;
C.-H. Chen
;
M. Khan
;
K. Yoon
;
C. Jiang
;
C. Cha
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
16.
A PRELIMINARY STUDY OF GENTLE CVDD PAD DRESSERS POTENTIAL FOR FIXED ABRASIVES CONDITIONING
机译:
固定研磨剂条件下温和的CVDD垫料潜力的初步研究
作者:
Ming-Hsin Chan
;
Ming-Hui Wang
;
Chien-Chung Teng
;
James Chien-Min Sung
;
Vice President Y. L. Pai
;
James Huang
;
Wey Hwang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
17.
A DEVELOPMENT OF SUPER HIGH-PRESSURE MIC RO JET SYSTEM FOR PAD DRESSING AND POST-CMP CLEANING IN CMP PROCESS
机译:
CMP过程中用于垫料清理和CMP后清洁的超高压MICRO JET系统的开发
作者:
Yoshiyuki Seike
;
Sayuri Kawashima
;
Keiji Miyachi
;
Toshiroh karaki Doy
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
18.
Review of Advanced Copper Interconnection Process -Deposition of low resistance and low stress Cu interconnection layer -
机译:
先进的铜互连工艺的回顾-低电阻低应力铜互连层的沉积-
作者:
Tohru Hara
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
19.
Self-Annealing Phenomena in the Copper Interconnection
机译:
铜互连中的自退火现象
作者:
Hiroki Toida
;
Yasuhiro Shimura
;
Tohru Hara
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
20.
Selective Electroplating of Copper Interconnection Layer for Liquid Crystal Display
机译:
液晶显示器铜互连层的选择性电镀
作者:
Satoshi Kamijima
;
Tohru Hara
;
Masaki Kado
;
Shigeru Aomori
;
Yoshitaka Yamamoto
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
21.
REACTIVE ION ETCHING OF ULTRA DEEP SUPER-VIAS IN DIELECTRIC FILMS FOR THREE DIMENSIONAL CHIP INTEGRATION
机译:
三维芯片集成的超薄膜中超深层反应离子刻蚀
作者:
B. RamanaMurthy
;
M. Mukherjee-Roy
;
Chang Chang Kuo
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
22.
Reduction in Contact Resistance by Using a Modified Barrier Process and Understanding the Step Coverage Limitations Using the EVOLVE Simulation Program
机译:
通过使用改进的势垒工艺并使用EVOLVE仿真程序了解阶梯覆盖范围限制来降低接触电阻
作者:
Ardy Sidhwa
;
Todd Gandy
;
Michael Goulding
;
Chuck Spinner
;
Vinay Prasad
;
Timothy Cale
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
23.
Resist Re-flow Process for Trench Shrink in Dual Damascene
机译:
双重镶嵌中的沟槽收缩抗回流工艺
作者:
Santhanesh Sathappan
;
Moitreyee Mukherjee-Roy
;
Navab Singh
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
24.
Organic additives for high selectivity oxide CMP based on ceria slurry
机译:
基于二氧化铈浆料的高选择性氧化物CMP有机添加剂
作者:
Jae Jeong Kim
;
Min Cheol Kang
;
Seung Hwan Cha
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
25.
OPTIMIZATION OF PHOTORESIST STRIPPING FOR OSG LOW-K/COPPER DAMASCENE TECHNOLOGY
机译:
OSG LOW-K / DAMASCENE技术的光敏剥离优化
作者:
V.N. Bliznetsov
;
Y.J. Su
;
C.F.Tsang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
26.
Novel Cu Seed Layer Deposition for ULSI Metalization
机译:
用于ULSI金属化的新型Cu籽晶层沉积
作者:
Yei-Wen Huang
;
Hong-Da Chang
;
Fon-Shan Huang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
27.
New planarization method assisted by aggregated particle created with optical radiation pressure
机译:
由光辐射压力产生的聚集粒子辅助的新型平面化方法
作者:
Keiichi KIMURA
;
Takashi MIYOSHI
;
Yasuhiro TAKAYA
;
Satoru TAKAHASHI
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
28.
Middle Stop Layer Recess Study in Dual Damascene Via Etch Process
机译:
蚀刻工艺对双大马士革中止层凹陷的研究
作者:
H. Cong
;
W.P. Liu
;
J.X. Li
;
Y. R. Pradeep
;
C.H. Low
;
W.J. Liu
;
E.P. Yu
;
B.C. Zhang
;
J.B. Tan
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
29.
Low-Pressure CMP for the 300-mm-Wafer Cu/Low-k Damascene Process
机译:
用于300毫米晶圆铜/低k镶嵌工艺的低压CMP
作者:
Seiichi Kondo
;
Shunichi Tokitou
;
Bo Un Yoon
;
Naofumi Ohashi
;
Isao Matsumoto
;
Nobuyoshi Kobayashi
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
30.
INTEGRATED MULTISCALE PROCESS SIMULATION
机译:
集成的多尺度过程仿真
作者:
Timothy S. Cale
;
Max O. Bloomfield
;
Jongwon Seek
;
Cyriaque P. Sukum
;
John A. Tichy
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
31.
Frequency Dependent Dielectric Constant of Polymer Low-k Thin Films of Nanometer Thicknesses
机译:
纳米厚度的聚合物低k薄膜的频率相关介电常数
作者:
Shih-Wei Lee
;
Yuan-Chang Lin
;
Hyung-Kun Kim
;
Bin Zhao
;
Frank G. Shi
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
32.
Formation of Cubic Ta Diffusion Barrier for Cu Metallization
机译:
铜金属化的立方钽扩散壁垒的形成
作者:
Z. L. Yuan
;
D. H. Zhang
;
C. Y. Li
;
K. Prasad
;
C. M. Tan
;
L. J. Tang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
33.
Evaluation of two types of barrier CMP slurries for Cu/Low κ materials
机译:
铜/低κ材料的两种阻挡CMP浆料的评估
作者:
S.Balakumar
;
T. Selvaraj
;
L. Pey Chye
;
L. Fu
;
C.Y. Li
;
R. Kumar
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
barrier slurries;
removal rate;
dish ing;
erosion;
fangs;
34.
ELECTRICAL TOPOGRAHICAL EFFECT OF DIFFERENT OXIDE DUMMY DENSITIES STRUCTURES ON COPPER CMP
机译:
不同氧化物钝态密度和结构对铜CMP的电学和地形学影响
作者:
Nelson Leow Whatt Wei
;
Park Hun Sub
;
Piak Koesun
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
35.
Effect of different barriers polishing on dishing and erosion during Cu-CMP
机译:
Cu-CMP过程中不同阻挡层抛光对凹陷和腐蚀的影响
作者:
S.Balakumar
;
L. Pey Chye
;
T. Selvaraj
;
L. Ben Fu
;
C.Y. Li
;
R. Kumar
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
Cu-CMP;
different barriers;
oxide loss;
dishing;
erosion;
36.
CRITICAL ISSUES IN THE INTEGRATION OF COPPER - BLACK DIAMOND INTERCONNECTS
机译:
铜-黑钻石互连件集成中的关键问题
作者:
X. BU
;
A. KRISHNAMOORTHY
;
Y. J. SU
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
37.
Substrate Line Defect Induced by Backend Thermal Stress
机译:
后端热应力引起的基板线缺陷
作者:
Y.Y. Chang
;
J.N. Peng
;
K.Y. Tseng
;
S.L. Chou
;
T.J. Hong
;
W.C. Lien
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
38.
The Defect Improvement of CMP IMD Oxide Process in Advanced Memory Device Application
机译:
CMP IMD氧化物工艺在先进存储设备中的缺陷改善
作者:
Yu-Chia Chen
;
Shu-Hui Mao
;
Yao-Hai Huang
;
Chih-Yung Liu
;
Yi-Lung Hsieh
;
Yi-Yuan Chen
;
Ting-Kuo Chen
;
Tony K.J. Liu
;
Liang-Kuei Chou
;
Victor H.H. Wang
;
C. Jerry Yang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
39.
Synthesis and Characterization of Low Dielectric Constant Nanoporous Silica From HSQ Oligomers
机译:
HSQ低聚物合成低介电常数纳米多孔二氧化硅及其表征
作者:
Wei-Chih Liu
;
Wen-Chang Chen
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
40.
STUDY OF ULTRATHIN TA DIFFUSION BARRIER FOR CU INTERCONNECTS
机译:
铜互连用超薄TA扩散阻挡层的研究
作者:
Lim Boon Kiat
;
Park Hun Sub
;
Alex See Kai Hong
;
Nikolai Yakovlev
;
Seet Chim Seng
;
Woo Sun Woong
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
41.
THE INCREASING VALUE OF METROLOGY AT SUB-130 NM NODES
机译:
低于130海里节点处的计量学增值
作者:
Terence Boynton
;
Murali Narasimhan
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
42.
The relationship between end-point detecting of W CMP and Tungsten Film
机译:
W CMP终点检测与钨膜的关系
作者:
Chun-Yao Yen
;
Chuang-Hsien Hung
;
Jason Chen
;
Cheng-Song Huang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
43.
Thickness Uniformity Improvement for PE TEOS Process Using SA Ozone TEOS as Seasoning Layer
机译:
以SA臭氧TEOS为调味料层的PE TEOS工艺的厚度均匀性改进
作者:
H.L. Chung
;
S.C. Hsiao
;
J. Lee
;
C.P. Chao
;
A. Ku
;
J.F. Wang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
44.
Characterization of Low Temperature Copper Film Deposited by Photo-Assisted Chemical-Vapor-Deposition
机译:
光辅助化学气相沉积沉积低温铜膜的表征
作者:
You-Lin Wu
;
Ming-Hsuan Hsieh
;
Kwen-Chen Huang
;
Huey-Liang Hwang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
45.
BEOL Thin Film Metrology Issues and Solutions for the 100nm Node and Beyond
机译:
BEOL 100nm节点及以后的薄膜计量问题和解决方案
作者:
Arun R. Srivatsa
;
Shankar Krishnan
;
Jose Estabil
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
46.
Axisymmetric Chemical-Mechanical Polishing Process Model by BEM
机译:
BEM的轴对称化学机械抛光工艺模型
作者:
Takafumi Yoshida
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
47.
ANNEALING INFLUENCE ON DEFECTS AND ATOMIC STRUCTURE OF POROUS ORGANIC LOW-K DIELECTRICS
机译:
退火对多孔有机低k电介质的缺陷和原子结构的影响
作者:
V. Ligatchev
;
T.K. Goh
;
T.K.S. Wong
;
S. Wu
;
L. Chan
;
Rusli
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
48.
A STUDY ON THE QUANTIFICATION OF POST-ETCHING POLYMER REMOVAL BY WET CHEMICAL 'CLEANING IN DUAL DAMASCENE PROCESS
机译:
双大马士革过程中湿法化学清洗对脱模后聚合物的定量研究
作者:
Chang Chang Kuo
;
B. Ramana Murthy
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
49.
A Study of Novel High Selectivity Slurries for Direct STI-CMP
机译:
直接STI-CMP的新型高选择性浆料的研究
作者:
C.-P. Hou
;
C.-Y. Fu
;
T.-C. Tseng
;
Y.-H. Chen
;
S.-M. Jang
;
M.-S. Liang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
50.
A CASE STUDY - RESIST POISONING IN COPPER AND LOW-K DUAL DAMASCENE PROCESS
机译:
案例研究-铜和低介电常数双金属链工艺中的抗蚀剂中毒
作者:
Sohan Singh Mehta
;
Moitreyee Mukherjee-Roy
;
Navab Singh
;
Rakesh Kumar
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
resist poisoning;
low-k material;
dual damascene;
51.
Study of Electro-CMP for Copper Damascene Process
机译:
铜镶嵌工艺中电CMP的研究
作者:
Takashi Fujita
;
Toshiroh K. Doy
;
Akira Isobe
;
Osamu Kinoshita
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
52.
Stress Effects on the Properties of Copper Layer Employed in the Multi-level Interconnection
机译:
应力对多层互连中铜层性能的影响
作者:
Subramanian Balakumar
;
Rakesh Kumar
;
Yasuhiro Shimura
;
Kenichi Namiki
;
Masayo Fujimoto
;
Hiroki Toida
;
Minoru Uchida
;
Tohru Hara
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
53.
STRUCTURAL AND DEFECT CHARACTERIZATION OF POROUS ORGANIC LOW-K DIELECTRICS
机译:
多孔有机低介电常数介质的结构和缺陷特征
作者:
V. Ligatchev
;
T.K. Goh
;
T.K.S. Wong
;
S. Wu
;
L. Chan
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
54.
Polymers Removal of Deep Trench Etch Process for Cu/Low-k RF Inductors
机译:
Cu / Low-k射频电感器的深沟槽蚀刻工艺中的聚合物去除
作者:
K. P. Yap
;
V. N. Bliznetsov
;
C. K. Chang
;
M. B. Yu
;
A. Y. Du
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
55.
PLASMA-PROCESSED FLUROCARBON FILM DEVELOPMENT AND SURFACE ANALYSIS FOR ADVANCED COPPER INTERCONNECT APPLICATION
机译:
等离子体处理的氟碳薄膜的开发和高级铜互连应用的表面分析
作者:
Priya Naidu
;
R Gopal Krishnan
;
Leong Siew Ying
;
Khine Nyunt
;
Richard Thet Wynn
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
plasma-assisted decomposition;
flurocarbon thin films;
surface a lalysis;
low-k dielectric;
56.
Processing Technology for High Density Multifunctional Integration (HDMI) using Wafer Bonding and Monolithic Inter-Wafer Interconnection
机译:
使用晶圆键合和单片晶圆间互连的高密度多功能集成(HDMI)处理技术
作者:
J.-Q. Lu
;
Y. Kwon
;
A. Jindal
;
K.-W. Lee
;
J.J. McMahon
;
G. Rajagopalan
;
A.Y. Zeng
;
R.P. Kraft
;
B. Altemus
;
B. Xu
;
E. Eisenbraun
;
J. Castracane
;
J.F. McDonald
;
T.S. Cale
;
A.E. Kaloyeros
;
R.J. Gutmann
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
57.
OPTIMISATION OF ALIGNMENT TREE AND OVERLAY MONITORING OF COPPER LOW-K DUAL DAMASCENE INTERCONNECT LAYERS
机译:
铜低K双DAMASCENE互连层的对准树优化和覆盖监测
作者:
Navab Singh
;
Sohan Singh Mehta
;
Moitreyee Mukherjee-Roy
;
Rakesh Kumar
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
58.
Nano-cluster Ta-Si diffusion barrier
机译:
纳米簇Ta-Si扩散势垒
作者:
Da-Wei Lin
;
Li-Sheng Ke
;
Fon-Shan Huang
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
59.
Methods for Depositing Thick Aluminum Interconnect Lines by Using a Two-Chamber Aluminum Physical Vapor Deposition Process
机译:
通过两室铝物理气相沉积工艺沉积厚铝互连线的方法
作者:
Ardy Sidhwa
;
Michael Goulding
;
Laureni Dion
;
Scott Guisinger
;
Steve English
;
Todd Gundy
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
60.
Leading-edge CMP Head Development for Excellent Polishing Planarity Uniformity Using Solo Pad
机译:
先进的CMP抛光头开发,可使用Solo Pad进行出色的抛光平面度和均匀性
作者:
Soushi Yamada
;
Katsunori Tanaka
;
Minoru Numoto
;
Akihiko Yamane
;
Akira Isobe
会议名称:
《》
|
2002年
61.
Local Nanodeposition of Dielectric Materials for Microelectronics
机译:
微电子介电材料的局部纳米沉积
作者:
H. D. Wanzenboeck
;
S. Harasek
;
E. Bertsgnolli
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
nanodeposition;
dielectric;
beam induced reaction;
si icon oxide;
focused ion beam;
62.
IN-SITU CORNER ROUNDING DURING CONTACT ETCHING FOR IMPROVED PLUG FILL
机译:
在接触式蚀刻过程中原位转角以改善塞料填充
作者:
De-Chuan Liu
;
Jung-Kuei Lu
;
Sheng-Shing Hwu
;
Albeit J.Chiou
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
63.
INTEGRITY OF ULTRATHIN TiN DIFFUSION BARRIER BY ATOMIC LAYER DEPOSITION FOR Cu METALLIZATION
机译:
铜镀层原子层沉积超薄TiN扩散阻挡层的完整性
作者:
Sun Woong Woo
;
Boon Kiat Lim
;
Hun Sub Park
;
Hee Jeen Kim
;
E. Vainonen-Ahlgren
;
W.-M. Li
;
M. Tuominen
;
Terence Pek Boon Kiong
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
64.
INVESTIGATION OF ELECTROPLATING AND ANNEALING CONDITIONS FOR 130 NM COPPER/BLACK DIAMOND DAMASCENE ARCHITECTURE
机译:
130 NM铜/黑钻石大马士革体系结构的电镀和退火条件研究
作者:
C. H. Seah
;
C.Y. Li
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
65.
Impact of via and upper layer formation on electromigration failure mechanism of lower layers in dual-damascene Cu interconnects
机译:
通孔和上层形成对双镶嵌铜互连中下层电迁移破坏机理的影响
作者:
Q. Guo
;
A. Krishnamoorthy
;
N. Y. Huang
;
S.M. L. Koh
;
P. D. Foo
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
66.
Fixed Abrasive CMP (FA-CMP) on STI Planarization for Logic Applications Beyond 0.13μm Technology Node
机译:
超过0.13μm技术节点的STI应用中的STI平面化固定磨料CMP(FA-CMP)
作者:
Zong-Huei Lin
;
Art Yu
;
Chia-Rung Hsu
;
Chih-Feng Dai
;
Shih-Hsun Hsu
;
Fu Yang
;
Teng-Chun Tsai
;
Wen-Yi Hsieh
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
67.
Failures in Physical Vapor Deposition Aluminum Plugs due to Hydrogen Anneal and Final Test Bake Process Steps
机译:
由于氢气退火和最终测试烘烤工艺步骤而导致的物理气相沉积铝塞失效
作者:
Ardy Sidhwa
;
Madhav Kalaga
;
Michael Goulding
;
Todd Gandy
;
Pierre Leonardi
;
Andrea Ravaglia
;
Ron Sampson
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
68.
Effects of temperature on the properties of low-k SiCOH films prepared using trimethylsilane
机译:
温度对三甲基硅烷制备的低k SiCOH薄膜性能的影响
作者:
Babu Narayanan
;
Rakesh Kumar
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
关键词:
low k;
PECVD;
trimethylsilane;
SiCOH;
RC delay;
69.
DIRECT ELECTROLESS PLATING OF COPPER ON METAL NITRIDE DIFFUSION BARRIERS
机译:
直接在金属氮化物扩散壁上化学镀铜
作者:
S. Shingubara
;
Z. Wang
;
H. Sakaue
;
T. Takahagi
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
70.
DIRECT STI CMP WITH CERIA BASED SLURRY FOR 90nm TECHNOLOGY
机译:
基于Ceria的浆液的直接STI CMP用于90nm技术
作者:
Chen Feng
;
Wang Sim Kit
;
Lim Cing Gie
;
David Chen Hsi-Hsin
;
Albert Lau
;
Richard Lee
;
Edwin Goh
;
David Lee Butler
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
71.
Delamination of Ta/SiLK~(TM) in 0.13 μm Process Integration
机译:
Ta / SiLK〜(TM)在0.13μm工艺集成中的分层
作者:
X. T. Chen
;
Y. T. Tan
;
Y. W. Chen
;
C. Y. Li
;
Ramana Murthy. B
;
S. Balakumar
;
Kevin Chew
;
P. D. Foo
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
72.
Comparison of Titanium Liner Quality Of SIP (Self-Ionized Plasma), IMP and Collimator on DRAM device
机译:
DRAM装置上SIP(自电离等离子体),IMP和准直仪的钛衬里质量比较
作者:
Wayne Lian
;
Cheng-Sung Huang
;
Jason Chen
;
Wen-Pin Chiu
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
73.
Cu CMP: Transition from 130nm Initial Applications to the Challenges of 90nm and Ultra Low-k Integration
机译:
Cu CMP:从130nm的初始应用过渡到90nm和超低k集成的挑战
作者:
Jared Chee
;
Jeff Chamberlain
;
Shumin Wang
;
Paul Feeney
;
Maria Peterson
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
74.
Yield analysis of Cross-bridge Kelvin via resistors
机译:
跨桥开尔文通过电阻的产量分析
作者:
A. Krishnamoorthy
;
X. Bu
;
Q. Guo
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
75.
Yield/Reliability Improvement of Multilevel Interconnect Using Sampling Directed Layout Modifications
机译:
使用采样定向布局修改来提高多级互连的良率/可靠性
作者:
Gerard A Allan
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
76.
3-D ELECTROMIGRATION SIMULATION IN Cu-LOW-K MULTILEVEL INTERCONNECT
机译:
Cu-LOW-K多级互连中的3-D电模拟
作者:
V. SUKHAREV
;
C.W. PARK
;
R. CHOUDHURY
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
77.
VCO for 5GHz in Cu/Low K Process
机译:
Cu / Low K制程中用于5GHz的VCO
作者:
Tian Tong
会议名称:
《Nineteenth International VLSI Multilevel Interconnection Conference (VMIC) Nov 19-20, 2002 Singapore》
|
2002年
意见反馈
回到顶部
回到首页