掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA
Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
电子节能
电子产品可靠性与环境试验
无线通信技术
中国电子科学研究院学报
中国新通信
电路与系统学报
电子设计工程
现代电影技术
激光与红外
信息产业报道
更多>>
相关外文期刊
Telecommunications Policy
Instrumentation & Measurement Magazine, IEEE
Telegraph Engineers, Journal of the Society of
Cognitive Computation and Systems
Report on Electronic Commerce
桂林电子工业学院学报
Via satellite
TVB Europe
Telecommunications
Smart TV & Sound
更多>>
相关中文会议
2005年中国合成孔径雷达会议
第三届中国光通信技术与市场研讨会
首届全国脉冲功率会议
2007年中国通信学会“移动增值业务与应用”学术年会
第五届全国电磁兼容学术会议
中国电子学会第十三届电子元件学术年会
第十三届全国电子显微学会议
中国电子学会可靠性分会第十一届学术年会
第25届全国电磁兼容学术会议
2011数字电视中国峰会(CCBN2011)
更多>>
相关外文会议
Microwave Instrumentation for Remote Sensing of the Earth
Bioelectronics, biointerfaces, and biomedical applications 4
2013 IEEE 14th International Symposium and Workshops on a World of Wireless, Mobile and Multimedia Networks
Emerging Lithographic Technologies X pt.1
Network architectures, management, and applications VIII
2011 Asia Communications and Photonics Conference : Technical Abstracts
Symposium on Ultrathin SiO_2 and High-K Materials for ULSI Gate Dielectrics held April 5-8, 1999, San Francisco, California, U.S.A.
IEEE/SEMI international semiconductor manufacturing science symposium
Laser refrigeration of solids III
International conference of Microscopy Society of Thailand
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
The Effect of Scattering Bar Assist Features in 193nm Lithography
机译:
193nm光刻中散射条辅助功能的影响
作者:
Lori Joesten
;
Michael Reilly
;
Jason DeSisto
;
Christiane Jehoul
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
2.
Aberration Determination in Early 157nm Exposure Systems
机译:
早期157nm曝光系统中的像差测定
作者:
Bruce Smith
;
Will Conley
;
Cesar Garza
;
Jeff Meute
;
Daniel Miller
;
Georgia Rich
;
Vicki Graffenberg
;
Kim Dean
;
Shashi Patel
;
Arnie Ford
;
Jim Foster
;
Marco Moers
;
Kevin Cummings
;
Jim Webb
;
Paul Dewa
;
Azzedine Zerrade
;
Susan McDonald
;
Greg Hughes
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
3.
Aberration Sensitivity Control for the Isolation Layer in Low kl DRAM Process
机译:
低kl DRAM工艺中隔离层的像差灵敏度控制
作者:
Byeong-Ho Cho
;
Donggyu Yim
;
Chan-HaPark
;
Seung-Hyuk Lee
;
Hyun-Jo Yang
;
Jae-Hak Choi
;
Yong-Chul Shin
;
Choi-Dong Kim
;
Jae-Seung Choi
;
Khil-Ohk Kang
;
Sang-Wook Kim
;
Tae-Hwa Yu
;
Jongkyun Hong
;
Jung-Chan Kim
;
Min-Seob Han
;
Ho-Young Heo
;
Young-Dae Kim
;
Dong- Du
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lens aberration;
pattern asymmetry;
isolation layer;
extreme OAI;
low k1 process;
4.
Novel Strategy for Wafer Induced Shift (WIS)
机译:
晶圆感应移位(WIS)的新策略
作者:
Koichi Sentoku
;
Takahiro Matsumoto
;
Hideki Ina
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
alignment;
WIS;
topography;
profiler;
scalar;
vector;
simulation;
5.
OPC Rectification of Random Space Patterns in 193nm Lithography
机译:
193nm光刻中随机空间图案的OPC校正
作者:
Mosong Cheng
;
Andrew Neureuther
;
Keeho Kim
;
Mark Ma
;
Won Kim
;
Maureen Hanratty
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
ArF lithography, model;
space;
process rule check;
dissolution;
variable threshold model;
6.
Aerial-Image Simulations of Soft and Phase Defects in 193-nm Lithography for 100-nm Node
机译:
193 nm光刻中100纳米节点的软缺陷和相缺陷的航拍模拟
作者:
Frank Driessen
;
Paul van Adrichem
;
Vicky Philipsen
;
Rik Jonckheere
;
Hua-Yu Liu
;
Linard Karklin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
ArF;
193 nm;
100-nm node;
soft defect;
phase defect;
assist feature;
phase-shift;
resolution enhancement;
7.
Reduction of Reflective Notching Through Illumination Optimization
机译:
通过照明优化减少反射缺口
作者:
James Word
;
Dyiann Chou
;
Yiming Gu
;
John Sturtevant
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
reflective notching;
BARC;
swing curve;
8.
Reticle process effects on OPC models
机译:
掩模版工艺对OPC模型的影响
作者:
Travis E. Brist
;
George E. Bailey
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
scatter bar;
OPC;
reticle quality;
MEEF;
DUV;
mask;
model;
simulation;
9.
Self calibration of wafer scanners using aerial image sensor
机译:
使用航拍图像传感器对晶圆扫描仪进行自我校准
作者:
Tsuneyuki Hagiwara
;
Masato Hamatani
;
Naoto Kondo
;
Kosuke Suzuki
;
Hisashi Nishinaga
;
Jiro Inoue
;
Koji Kaneko
;
Shunichi Higashibata
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
wafer scanner;
self-calibration;
aerial image;
scanning-slit;
10.
Model-Based OPC for 0.13-μm Contacts using 248-nm Att PSM
机译:
使用248-nm Att PSM的基于模型的OPC,用于0.13-μm触点
作者:
Jaw-Jung Shin
;
T. C. Wu
;
C. K. Chen
;
R.G. Liu
;
Y. C. Ku
;
Bum J. Lin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
contact;
att PSM;
model-based OPC;
deep uv;
microlithography;
11.
Model-based OPC for Phase Shifter Edge Lithography
机译:
基于模型的OPC用于移相器边缘光刻
作者:
Hiroki Futatsuya
;
Tatsuo Chijimatsu
;
Takayoshi Minami
;
Ryo Tsujimura
;
Yoshihisa Komura
;
Yoshio Ito
;
Satoru Asai
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
double exposure;
alternating PSM;
model-based OPC;
12.
Modified Rayleigh equation: impact of image fluctuation on imaging performance
机译:
修正的瑞利方程:图像波动对成像性能的影响
作者:
Seiji Matsuura
;
Takeo Hashimoto
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
rayleigh equation;
image fluctuation;
diffusion length;
ArF exposure;
contrast;
MEEF;
exposure dose latitude;
13.
Monte Carlo method for highly efficient and accurate statistical lithography simulations
机译:
蒙特卡洛方法用于高效和准确的统计光刻模拟
作者:
Sergei Postnikov
;
Kevin Lucas
;
Karl Wimmer
;
Vladimir Ivin
;
Andrey Rogov
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
monte carlo simulation;
CD control;
ACLV;
AWLV;
VTRM;
MEF;
CD error budget;
focus and dose error budget;
lithography process optimization;
14.
193 nm Lithography and Resist Reflow for the BEOL
机译:
BEOL的193 nm光刻和抗蚀剂回流
作者:
R.DellaGuardia
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lithography;
photoresist;
optical proximity correction;
lithography process window;
resolution enhancement;
resist reflow;
contact hole printing;
15.
Method of Zernike Coefficients Extraction for Optics Aberration Measurement
机译:
用于光学像差测量的Zernike系数提取方法
作者:
Yoshihiro Shiode
;
Shuichi Okada
;
Hiroki Takamori
;
Hideki Matusda
;
Sachiko Fujiwara
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lithography;
aberration;
zernike coefficients;
illumination source;
aperture;
16.
Lithographic comparison of assist feature design strategies
机译:
辅助功能设计策略的光刻比较
作者:
K. Reblinsky
;
T. Bach
;
S. Schulze
;
M. Commons
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
OPC;
sub resolution assist features;
lithographic simulations;
17.
Integration of New Alignment Mark Designs in Dual Inlaid Copper Interconnect Processes
机译:
在双镶嵌铜互连工艺中集成新的对准标记设计
作者:
Scott Warrick
;
Paul Hinnen
;
Richard van Haren
;
Chris Smith
;
Henry Megens
;
Chong-Cheng Fu
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
18.
Innovative Optical Alignment Technique for CMP Wafers
机译:
CMP晶片的创新光学对准技术
作者:
Ayako Sugaya
;
Yuho Kanaya
;
Shinichi Nakajima
;
Tadashi Nagayama
;
Naomasa Shiraishi
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
micro-lithography;
overlay;
alignment system;
CMP;
WIS;
19.
Assessment of different simplified resist models
机译:
评估不同的简化抗蚀剂模型
作者:
D. Fuard
;
M. Besacier
;
P. Schiavone
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
20.
Analysis of AttPSM CD Control: Mask Bias and Flare Effects
机译:
AttPSM CD控制分析:蒙版偏差和眩光效果
作者:
Young-Chang Kim
;
Geert Vandenberghe
;
Kurt Ronse
会议名称:
《》
|
2002年
关键词:
MEEF;
NILS;
flare;
stray light;
resolution enhancement;
100nm node;
off-axis illumination;
attenuated PSM;
double exposure;
21.
Analysis of Wafer Flatness for CD Control in Photolithography
机译:
光刻中用于CD控制的晶圆平整度分析
作者:
Tadahito Fujisawa
;
Masafumi Asano
;
Takumichi Sutani
;
Soichi Inoue
;
Hiroaki Yamada
;
Junji Sugamoto
;
Katuya Okumura
;
Tuneyuki Hagiwara
;
Satoshi Oka
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
wafer flatness;
site flatness;
SFQR;
holder flatness;
focus budget;
CD variation;
CD control;
22.
Challenging the Limit of Single Mask Exposure
机译:
挑战单一口罩暴露极限
作者:
Kenji Yamazoe
;
Masanobu Hasegawa
;
Kenji Saitoh
;
Akiyoshi Suzuki
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
optical lithography;
resolution enhancement technique;
IDEAL;
IDEALSmile;
contact hole;
23.
CD control in phase-edge lithography - the effects of lens aberration and pattern layout
机译:
相边缘光刻中的CD控制-镜头像差和图案布局的影响
作者:
Takuya Hagiwara
;
Katsuya Hayano
;
Akemi Moniwa
;
Hiroshi Fukuda
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
phase-edge lithography;
lens aberration;
pattern layout;
proximity effect;
OPC;
24.
Bottom Anti-Reflective Coating Processing Techniques for Via-First Dual Damascene Processes
机译:
通孔-第一双镶嵌工艺的底部抗反射涂层处理技术
作者:
Nickolas L. Brakensiek
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
photolithography;
DUV;
via-first dual damascene;
bottom anti reflective coating;
full via fill;
partial via fill;
copper processing;
25.
Defects Printability and Specification of ArF Mask in Repeating Feature
机译:
重复功能中ArF掩模的可印刷性和规格缺陷
作者:
Wan-Ho Kim
;
Won-Kwang Ma
;
Hee-Bom Kim
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
ArF attenuated PSM;
defect printability;
MEF;
mask specification;
26.
Bi-layer and tri-layer lift-off processing for i-line and DUV lithography
机译:
i-line和DUV光刻的双层和三层剥离工艺
作者:
Laura L. Popovich
;
Kathleen A. Gehoski
;
David P. Mancini
;
Douglas J. Resnick
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lift-off;
T-gate;
DUV lithography;
i-line lithography;
photostabilization;
27.
Contact hole photo process improvement by multiple exposures with matched illumination settings
机译:
通过多次曝光和匹配的照明设置改善接触孔的照相工艺
作者:
Yung-Sung Yen
;
I-Hsiung Huang
;
Jiunn-Ren Hwang
;
Kuei-Chun Hung
;
Chi-Fa Ku
;
Ching-Hsu Chang
;
Cheng-Yu Fang
;
P.W. Yen
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
DOF;
proximity;
double exposure;
OAI;
illumination setting;
28.
Design and fabrication of customized illumination patterns for low-k1 lithography: a diffractive approach part II, calcium fluoride controlled-angle-diffusers
机译:
用于低k1光刻的定制照明图案的设计和制造:衍射法第二部分,氟化钙控制角扩散器
作者:
M. K. Poutous
;
M. D. Himel
;
B. Delaney
;
J. Stack
;
A. D. Kathman
;
A. S. Fedor
;
R. E. Hutchins
;
J. Leonard
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
29.
Enhancements in Rigorous Simulation of Light Diffraction from Phase Shift Masks
机译:
严格模拟来自相移掩模的光衍射
作者:
Andreas Erdmann
;
Nishrin Kachwala
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lithography modeling;
phase shift mask;
rigorous diffraction;
wave aberration;
30.
Effect of feature size, pitch and resist sensitivity on side-lobe and ring formation for via hole patterning in attenuated phase shift masks
机译:
特征尺寸,螺距和抗蚀剂灵敏度对衰减的相移掩模中通孔构图的旁瓣和环形成的影响
作者:
Navab Singh
;
Moitreyee Mukherjee-Roy
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
phase shift mask;
side lobes;
defocus;
pitch;
coherency;
minima maxima;
31.
Effect of Aberrations on Defect Printing and Inspection
机译:
像差对缺陷印刷和检查的影响
作者:
Paul Harris
;
Martin McCallum
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
32.
Effect of Quartz Phase Etch on 193nm Alternating Phase Shift Mask Performance for the 100nm Node
机译:
石英相蚀对100nm节点193nm交替相移掩模性能的影响
作者:
Kyle Patterson
;
Lloyd C. Litt
;
John G. Maltabes
;
Greg P. Hughes
;
Trish Robertson
;
Brian Montgomery
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lithography;
phase shift;
193nm;
100nmnode;
33.
Image enhancement through square illumination shaping
机译:
通过方形照明整形增强图像
作者:
Bruce W. Smith
;
Geert Vandenberghe
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
34.
Impact of synchronization errors on overlay and CD control
机译:
同步错误对覆盖和CD控制的影响
作者:
Emmanuelle Luce
;
Sebastien Mougel
;
Pierre-Jerome Goirand
;
Jerome Depre
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
KrF ArF stepscan;
MSD;
lateral vibrations;
EL-DoF;
intrafield CD dispersion;
35.
High Repetition Rate Excimer Lasers for 193nm Lithography
机译:
用于193nm光刻的高重复频率准分子激光器
作者:
Wolfgang Zschocke
;
Hans-Stephan Albrecht
;
Thomas Schroder
;
Igor Bragin
;
Peter Lokai
;
Farid Seddighi
;
Christian Reusch
;
Anna Cortona
;
Kai Schmidt
;
Rainer Paetzel
;
Klaus Vogler
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
excimer laser;
optical microlithography;
193 nm;
ultra-narrow line;
high spectral purity;
36.
Intra-field CD Variation by Stray Light from Neighboring Field
机译:
邻近场杂散光引起的场内CD变化
作者:
Chang-Moon Lim
;
Jung-Ho Song
;
Soung-Su Woo
;
Ki-Sung Kwon
;
Chang-Nam Ahn
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
photolithography;
stray light;
flare;
adjacent field;
neighboring field;
intra-field CD variation;
37.
Influence of OPC features on the profile of 2D mask patterns
机译:
OPC功能对2D掩模图案轮廓的影响
作者:
Andrew Khoh
;
Tom Milster
;
Byoung-Il Choi
;
Ganesh S. Samudra
;
Yihong Wu
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
image formation technique;
GTD;
OPC;
2D profile;
corner;
line-end;
simulation;
38.
Resist Distribution Effect of Spin Coating
机译:
旋涂的抗蚀剂分布效应
作者:
Sang-Kon Kim
;
Ji-Yong Yoo
;
Hye-Keun Oh
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
spin coating;
solvent distribution;
solvent evaporation;
FDTD;
FEM;
monte carlo method;
nanotopography;
39.
Resist thermal flow technique for printing 0.12μm contact holes
机译:
抵抗热流技术,可打印0.12μm接触孔
作者:
Elise Baylac
;
Christophe Brault
;
Jin-Wuk Sung
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
contact holes;
thermal flow process;
annular illumination;
PSM;
KrF lithography;
40.
Studies on Limitation of Optical Lithography for various Resolution Enhancement Technology
机译:
各种分辨率增强技术对光学光刻的局限性研究
作者:
Tae-Seung Eom
;
Chang-Nam Ahn
;
Dong-Heok Park
;
Cha-Won Koh
;
Cheol-Kyu Bok
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
resolution limit;
MEF;
100nm node;
resolution enhancement technology;
41.
Spatial dispersion in CaF_2 caused by the vicinity of an excitonic bound state
机译:
CaF_2的空间分散是由激子结合态附近引起的
作者:
M. Letz
;
W. Mannstadt
;
M. Brinkmann
;
E. Moersen
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
PACS: 78.20..Fm;
78.20.Bh;
71.35.C;
71.35.-y;
42.70.Hj;
42.
Spectral Metrologies for Ultra-Line-Narrowed F_2 laser
机译:
超窄行F_2激光的光谱计量
作者:
Takanori Nakaike
;
Osamu Wakabayashi
;
Toru Suzuki
;
Hakaru Mizoguchi
;
Kiyoharu Nakao
;
Ryoichi Nohdomi
;
Tatsuya Ariga
;
Naoki Kitatochi
;
Takashi Suganuma
;
Takahito Kumazaki
;
Kazuaki Hotta
;
Masaki Yoshioka
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
F_2 laser;
wavelength;
linewidth;
spectrometer;
157nm microlithography;
line-narrowing;
injection locking;
43.
Spherical Aberration Measurement and in-situ Correction
机译:
球差测量和原位校正
作者:
Alden Acheta
;
Jongwook Kye
;
Harry J. Levinson
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
aberrations;
spherical aberration;
zernike polynomials;
44.
Simulation and Characterization of Silicon Oxynitrofluoride films as a Phase Shift Mask Material for 157 nm Optical Lithography
机译:
157nm光学光刻中用作相移掩膜材料的氧氧化氮氟硅薄膜的模拟和表征
作者:
Sungkwan Kim
;
Eunchul Choi
;
Hyoungdo Kim
;
Jungmin Kim
;
Kwangsoo No
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
silicon oxynitrofluoride;
phase shift mask;
refractive index;
extinction coefficient;
transmittance;
157 nm;
45.
Sub-70-nm Pattern Fabrication using an Alternating Phase Shifting Mask in 157-nm Lithography
机译:
在157 nm光刻中使用交替相移掩模制作70纳米以下的图案
作者:
Shigeo Irie
;
Noriyoshi Kanda
;
Kunio Watanabe
;
Toshifumi Suganaga
;
Toshiro Itani
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
157-nm lithography;
resolution enhancement technology;
alternating-phase shifting mask;
high NA;
lithographic margin;
46.
Sub-0.10μm Lithography Technology with Resolution Enhancement Technique
机译:
分辨率增强技术的小于0.10μm光刻技术
作者:
Gek Soon Chua
;
Qunying Lin
;
Cho Jui Tay
;
Chenggen Quan
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
depth of focus;
resolution enhancement technique;
optical proximity correction;
assist features;
scattering bars;
47.
The Double Exposure Strategy using OPC Simulation and the Performance on Wafer with sub-0.10um Design Rule in ArF Lithography
机译:
使用OPC和仿真的双重曝光策略以及ArF光刻中低于0.10um设计规则的晶圆性能
作者:
Se-Young Oh
;
Wan-Ho Kim
;
Hyoung-Soon Yune
;
Hee-Bom Kim
;
Seo-Min Kim
;
Chang-Nam Ahn
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
double exposure technique;
stitching;
OPC;
48.
Tuning and simulating a 193 nm resist for 2D applications
机译:
调谐和仿真193 nm抗蚀剂以用于2D应用
作者:
William Howard
;
Vincent Wiaux
;
Monique Ercken
;
Bang Bui
;
Jeff Byers
;
Mike Pochkowski
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
simulation;
resist;
193 nm;
PROLITH;
ProDATA;
autotune;
49.
The Accuracy of Simulation Based on The Acid-Quencher Mutual Diffusion Model in KrF Processes
机译:
基于酸-奎歇尔互扩散模型的KrF过程仿真精度
作者:
K. Hattori
;
J. Abe
;
H. Fukuda
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
chemically amplified resist;
acid;
quencher;
diffusion;
simulation;
proximity effect;
50.
Accuracy of New Analytical Models for Resist Formation Lithography
机译:
光刻胶平版印刷新分析模型的准确性
作者:
J.Malov
;
C.K.Kalus
;
H.Muellerke
;
T.Schmoller
;
R.Wildfeuer
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
optical lithography;
resist profile;
level set method;
lumped parameters;
51.
A Tandem Process Proximity Correction Method
机译:
串联过程接近度校正方法
作者:
Kohji Hashimoto
;
Tatsuaki Kuji
;
Shingo Tokutome
;
Toshiya Kotani
;
Satoshi Tanaka
;
Soichi Inoue
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
line-width control;
low k_1 lithography;
process proximity effect (PPE);
process proximity correction (PPC);
model-based PPC;
tandem PPC;
52.
Optical Lithography Simulation Considering Impact of Mask Errors
机译:
考虑掩模误差影响的光刻技术
作者:
Hee-Bom Kim
;
Won-Kwang Ma
;
Chang-Nam Ann
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
optical proximity correction;
two-dimensional pattern;
corner rounding;
pattern fidelity;
diffused aerial image model;
mask diffusion parameter;
53.
OPC applications into embedded-OPC designs
机译:
将OPC应用程序集成到嵌入式OPC设计中
作者:
George E. Bailey
;
Travis E. Brist
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
OPC;
simulation;
bit cell;
rule base;
model base;
54.
Printing 100nm Sub-100nm DRAM Full Chip Patterns with Crosspole illumination in 0.63NA ArF Lithography
机译:
在0.63NA ArF光刻中用交叉极照明打印100nm和100nm以下DRAM全芯片图案
作者:
Seok-Kyun Kim
;
Cheol-Kyu Bok
;
Kisoo Shin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
crosspole illumination;
100 nm printing;
ArF lithography;
single exposure;
sub-100nm printing;
55.
Production-Ready 4 kHz ArF Laser for 193 nm Lithography
机译:
适用于193 nm光刻的可量产的4 kHz ArF激光器
作者:
P. C. Oh
;
V. Fleurov
;
T. Hofmann
;
T. Duffey
;
F. Trintchouk
;
P. OKeeffe
;
P. Newman
;
G. M. Blumenstock
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
56.
Simple Focus Monitoring by Eccentric Illumination Aperture
机译:
通过偏心照明光圈进行简单的聚焦监控
作者:
Shuji Nakao
;
Shinroku Maejima
;
Naohisa Tamada
;
Shigenori Yamashita
;
Atsushi Ueno
;
Jyunji Miyazaki
;
Akira Tokui
;
Kouichirou Tsujita
;
Ichirou Arimoto
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
focus monitor;
eccentric aperture;
non-telecentric imaging;
overlay measurement;
box-in-box mark;
57.
Uniform Metal Patterning on Micromachined 3-D Surfaces Using Multi-Step Exposure of UV Light
机译:
使用多步曝光的紫外线在微机械3-D表面上进行均匀的金属图案化
作者:
Arief Suriadi
;
Frank Berauer
;
Akari Yasunaga
;
Alfred Pan
;
Hugh Vanderplas
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
3-D photolithography;
stitching;
high topography;
UV exposure;
microsystems;
MEMS;
58.
Wafer Edge- Shot Algorithm For Wafer Scanners
机译:
晶圆扫描仪的晶圆边缘发射算法
作者:
Tsuneyuki Hagiwara
;
Masato Hamatani
;
Hideyuki Tashiro
;
Etsuya Morita
;
Shinichi Okita
;
Naoto Kondo
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
DOF;
wafer edge shot;
scanning exposure;
59.
Adaptive OPC with a Conformal Target Layout
机译:
保形目标布局的自适应OPC
作者:
Robert Lugg
;
Dan Beale
;
Jason Huang
;
Michael Rieger
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
60.
A Comparison between the Process Windows calculated with Full and Simplified Resist Models
机译:
使用完全和简化抵抗模型计算的过程窗口之间的比较
作者:
Mark D. Smith
;
Jeffrey D. Byers
;
Chris A. Mack
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
photoresist modeling;
aerial image threshold model;
lumped parameter model;
LPM;
lithography simulation;
PROLITH;
61.
A Contact-reducing Method Over Topography by Combining the RELACS Technique and Bi-layer Process
机译:
RELACS技术与双层工艺相结合的地形接触减少方法
作者:
Chieh-yu Lin
;
Karen Petrillo
;
David Dobuzinsky
会议名称:
《》
|
2002年
关键词:
RELACS;
bi-layer;
dual-damascene lithography;
62.
0.33k1 ArF Lithography for 100nm DRAM
机译:
用于100nm DRAM的0.33k1 ArF光刻
作者:
Cheolkyu Bok
;
Seok-Kyun Kim
;
Hee-Bom Kim
;
Jin-Sung Oh
;
Chang-Nam Ahn
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
63.
Model based OPC considering process window aspects - a study
机译:
考虑过程窗口方面的基于模型的OPC-研究
作者:
Steffen Schulze
;
Oseo Park
;
Rainer Zimmermann
;
Ming-Jui Chen
;
Pat LaCour
;
Emile Sahouria
;
Yuri Granik
;
Nick Cobb
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
optical proximity correction;
process window;
variable threshold model;
64.
157nm System Test for high NA Lithographic Lens Systems
机译:
高NA平版印刷镜头系统的157nm系统测试
作者:
Horst Schreiber
;
Paul G. Dewa
;
Keith E. Hanford
;
Robert L. Ligenza
;
Lisa. R. Rich
;
Paul J. Tompkins
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
157nm;
twyman-green;
shearing;
wavefront;
spatial coherence;
retardance;
birefringence;
65.
New Photomask Patterning Method based on KrF Stepper
机译:
基于KrF步进器的新型光掩模构图方法
作者:
Tae-Joong Ha
;
Yong-Kyoo Choi
;
Oscar Han
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
photomask repeater;
KrF stepper;
registration;
CD uniformity;
inter-field and intra-field;
66.
New photomask substrate for improved lithography performance
机译:
新型光掩模基板可改善光刻性能
作者:
Bryan S. Kasprowicz
;
Richard Priestley
;
Michael Heslin
;
David R. Fladd
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
67.
New Resolution Enhancement Technology for Manufacturing Sub- 100nm Technology
机译:
用于制造100nm以下技术的新分辨率增强技术
作者:
Dong-Hoon Chung
;
Jean-Young Park
;
Man-ki Lee
;
In-Kyun Shin
;
Sung-Woon Choi
;
Hee-Sun Yoon
;
Jung-Min Sohn
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
NGL(Next Generation Lithography);
RET's(Resolution Enhancement Techniques);
CLM(Chrome-Less Mask);
depth of focus;
quartz dry etch;
68.
New methods to calibrate simulation parameters for chemically amplified resists
机译:
校准化学放大抗蚀剂模拟参数的新方法
作者:
Bernd Tollkuehn
;
Andreas Erdmann
;
Niko Kivel
;
Stewart Robertson
;
Doris Kang
;
Steve Hansen
;
Anita Fumar-Pici
;
Tsann-Bim Chiou
;
Wolfgang Hoppe
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
69.
Integration Using KrF and ArF Resist Materials in a Full Via First Dual Damascene Process Scheme with CVD OSG Low-k Dielectric
机译:
使用KrF和ArF抗蚀剂材料通过CVD OSG Low-k介电层通过全双首先大马士革工艺方案进行集成
作者:
Scott W. Iessen
;
Kurt G. Steiner
;
Thomas M. Wolf
;
William D. Josephson
;
Steve A. Lytle
;
Mitsuru Sato
;
Chung Y. Lee
;
Ming H. Fan
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
dual damascene;
low-k dielectric;
KrF resist;
ArF resist;
70.
In-Situ Measurements of VUV Optical Materials for F_2 Laser
机译:
用于F_2激光器的VUV光学材料的原位测量
作者:
Akira Sumitani
;
Yasuo Itakura
;
Fumika Yoshida
;
Youichi Kawasa
;
Jing Zhang
;
Noriyoshi Kanda
;
Toshiro Itani
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
71.
Mask Damage by Electrostatic Discharge: A Reticle Printability Evaluation
机译:
静电放电对掩模的损害:光罩可印刷性评估
作者:
Andrew C. Rudack
;
Lawrence B. Levit
;
Alvina Williams
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
72.
Maximizing Common Process Latitude by Integrated Process Development for 130 nm Lithography
机译:
通过针对130 nm光刻的集成工艺开发来最大化通用工艺范围
作者:
Michael Reilly
;
Colin Parker
;
Frank Fischer
;
Todd Hiar
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
proximity bias;
figure of merit;
annular;
quasar;
process latitude;
MEF;
73.
Measurement of the Flare and in-field Line Width Variation Due to the Flare
机译:
耀斑的测量以及由于耀斑引起的现场线宽变化
作者:
Tae Moon JEONG
;
Sung-Woon CHOI
;
Sang-Gyun WOO
;
Woo-Sung HAN
;
Jung-Min SOHN
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
flare;
photomask;
line width variation;
modified aerial image;
in-field uniformity;
74.
ArF imaging with off axis illumination and sub-resolution assist bars: a compromise between mask constraints and lithographic process constraints
机译:
具有离轴照明和亚分辨率辅助条的ArF成像:掩模约束条件与光刻工艺约束条件之间的折衷
作者:
Y. Trouiller
;
J. Serrand
;
C. Miramond
;
Y. Rody
;
S. Manakli
;
P-J. Goirand
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
assist feature;
scattering bar;
ArF lithography;
mask error factor;
75.
Characterization of a projection lens using the extended Nijboer-Zernike approach
机译:
使用扩展的Nijboer-Zernike方法表征投影镜头
作者:
Peter Dirksen
;
Joseph Braat
;
Peter De Bisschop
;
Augustus J.E.M. Janssen
;
Casper Juffermans
;
Alvina Williams
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
optical lithography;
aberrations;
phase retrieval;
point spread function;
nijboer-zernike theory;
76.
CD Prediction by Threshold Energy Resist Model (TERM)
机译:
阈值能量抵抗模型(TERM)的CD预测
作者:
Ji-Yong Yoo
;
Young-Keun Kwon
;
Jun-Taek Park
;
Dong-Soo Sohn
;
Sang-Gon Kim
;
Young-Su Sohn
;
Hye-Keun Oh
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
VTRM;
resist model;
energy threshold resist model;
aerial image;
linearity;
proximity;
77.
Characterization of DUV and VUV optical components
机译:
DUV和VUV光学组件的表征
作者:
K. Mann
;
G. Eckert
;
C. Goerling
;
U. Leinhos
;
B. Schaefer
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
78.
MEF studies for Attenuated Phase Shift Mask for sub 0.13um Technology using 248nm
机译:
使用248nm的低于0.13um技术的衰减相移掩模的MEF研究
作者:
Sia Kim Tan
;
Qunying Lin
;
Gek Soon Chua
;
Chenggen Quan
;
Cho Jui Tay
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
MEF;
phase-shift mask;
0.10 um;
assist features;
248nm;
79.
Laser resistance of fused silica for microlithography: experiments and models
机译:
熔融石英微光刻的抗激光性:实验和模型
作者:
Johannes Moll
;
Paul Dewa
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
fused silica;
laser damage;
induced absorption;
compaction;
expansion;
rarefaction;
photorefractive effect;
80.
Meeting the challenges of 157-nm microstepper technology
机译:
应对157 nm微步进技术的挑战
作者:
Osamu Yamabe
;
Noboru Uchida
;
Toshiro Itani
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
157-nm microstepper;
157-nm lithography;
tool contamination;
insitu oxygen cleaning;
birefringence;
wavefront;
resist outgassing;
81.
Behavior of candidate organic pellicle materials under 157 nm laser irradiation
机译:
候选有机防护膜材料在157 nm激光照射下的行为
作者:
A. Grenville
;
V. Liberman
;
M. Rothschild
;
J.H.C. Sedlacek
;
R.H. French
;
R.C. Wheland
;
X. Zhang
;
J. Gordon
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
82.
Clear Field Dual Alternating Phase Shift Mask Lithography
机译:
清晰视野双相移掩模光刻
作者:
Douglas A. Bernard
;
Jiangwei Li
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
photolithography;
phase shifting mask;
double exposure;
electronic design automation;
simulation;
83.
Defect Printability of Alternative Phase-Shift Mask: A Critical Comparison of Simulation and Experiment
机译:
替代相移掩模的缺陷可印刷性:仿真和实验的关键比较
作者:
Ken Ozawa
;
Tohru Komizo
;
Koji Kikuchi
;
Hidetoshi Ohnuma
;
Hiroich Kawahira
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
phase defect printability;
alternative phase shift mask;
3-dimensional FDTD simulation;
84.
Assist Feature OPC Implementation for the 130nm Technology Node with KrF and No Forbidden Pitches
机译:
具有KrF和无禁止间距的130nm技术节点的辅助功能OPC实施
作者:
James Word
;
Suihua Zhu
;
John Sturtevant
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
scatter bar;
assist bar;
OPC;
RET;
85.
Development of low-loss optical coatings for 157nm lithography
机译:
开发用于157nm光刻的低损耗光学涂料
作者:
Ryuji Biro
;
Kazuho Sone
;
Shunsuke Niisaka
;
Minoru Otani
;
Yasuyuki Suzuki
;
Chidane Ouchi
;
Tadahiko Saito
;
Masanobu Hasegawa
;
Jun Saito
;
Akira Tanaka
;
Akira Matsumoto
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
coating;
lithography;
F_2 laser;
86.
Etch Simulations for Lithography Engineers
机译:
光刻工程师的蚀刻模拟
作者:
Robert Jones
;
Chris A. Mack
;
Jeffrey Byers
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
simulation;
etch modeling;
lithography modeling;
microlithography;
PROLITH;
87.
Evaluation and Characterization of Flare in ArF Lithography
机译:
ArF平版印刷中耀斑的评估和表征
作者:
Lloyd C. Litt
;
Anatoly Bourov
;
Bruno LaFontaine
;
Eric Apelgren
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
lithography;
flare;
critical dimension;
stray light;
88.
Evaluation of double focal plane exposure technique for 248nm and 193nm lithography for semi-dense trenches and contacts
机译:
248nm和193nm光刻技术用于半致密沟槽和接触的双焦平面曝光技术评估
作者:
Francois Weisbuch
;
Francesco Enrichi
;
Gianfranco Capetti
;
Giovanni Bianucci
;
Gina Cotti
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
aerial image;
flex;
multiple exposure;
defocus;
bossung;
CD uniformity;
process windows;
simulation;
89.
Evaluation of OPC Mask Printing with a Raster Scan Pattern Generator
机译:
使用光栅扫描图案发生器评估OPC掩模印刷
作者:
Tom Newman
;
Jan Chabala
;
B.J. Marleau
;
Frederick Raymond III
;
Olivier Toublan
;
Mark Gesley
;
Frank Abboud
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
MEBES;
electron beam lithography;
photomask;
raster graybeam;
OPC;
90.
Effects of Residual Aberrations on Line-end Shortening in 193 nm Lithography
机译:
残余像差对193 nm平版印刷术中线端缩短的影响
作者:
Mosong Cheng
;
Andrew Neureuther
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
193nm lithography;
resist;
lens aberrations;
model calibration;
variable threshold model;
91.
Flexible fragmentation rules for next generation OPC -tag prior to fragmentation
机译:
分段之前的下一代OPC标签的灵活分段规则
作者:
Shih-Ying Chen
;
Eric C. Lynn
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
layout;
OPC;
data preparation;
92.
Hopkins vs. Abbe, a lithography simulation matching study
机译:
霍普金斯与阿贝的光刻模拟匹配研究
作者:
Ralph Schlief
;
Armin Liebchen
;
J. Fung Chen
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
hopkins;
abbe;
lithography simulation;
OPC;
93.
Impact of scanner tilt and defocus on CD uniformity across field
机译:
扫描仪倾斜和散焦对跨场CD均匀性的影响
作者:
Shangting Detweiler
;
Simon Chang
;
Sandra Zheng
;
Patrick Gagnon
;
Chris Baum
;
Mark Boehm
;
Jay Brown
;
Cathy Fruga
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
process control monitoring;
leveling tilt;
defocusing;
resist profile;
94.
Impact of Transmission Error for Attenuated Phase Shift Mask for 0.10 um Technology
机译:
衰减误差对0.10 um技术的衰减相移掩模的影响
作者:
Sia Kim Tan
;
Qunying Lin
;
Chenggen Quan
;
Cho Jui Tay
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
phase-shift mask;
transmission error;
0.10 um;
assist features;
95.
Improved adhesion of photoresist to Ⅲ-Ⅴ substrates using PECVD carbon films
机译:
使用PECVD碳膜改善光致抗蚀剂对Ⅲ-Ⅴ类基材的附着力
作者:
David P. Mancini
;
Steven M. Smith
;
Andrew Hooper
;
A. Alec Talin
;
Daniel Y. Chang
;
Douglas J. Resnick
;
Steven Voight
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
Ⅲ-Ⅴ materials;
gallium arsenide;
indium phosphide;
adhesion;
photoresist;
PECVD carbon;
96.
Implementation of Phase Shift Focus Monitor with Modified Illumination
机译:
具有改进照明的相移聚焦监控器的实现
作者:
Shuji Nakao
;
Shinroku Maejima
;
Atsushi Ueno
;
Shigenori Yamashita
;
Junji Miyazaki
;
Akira Tokui
;
Kouichirou Tsujita
;
Ichirou Arimoto
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
phase shift focus monitor;
modified illumination;
asymmetrical beam cutoff;
deformation by aberration;
97.
Improved Line-End Fore-Shortening and Corner-Rounding Control in Optical Proximity Correction using Radius of Curvature Method
机译:
使用曲率半径的光学接近度校正中改进的线端前缩短和转角控制
作者:
Maharaj Mukherjee
;
Vinhthuy Phan
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
optical proximity correction;
line-ends;
anchors;
serifs;
low-pass filter;
mask-rule;
98.
High NA ArF Lithography for 70nm Technologies
机译:
适用于70nm技术的高NA ArF光刻
作者:
Patrick Montgomery
;
Geert Vandenberghe
;
Kevin Lucas
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
193nm lithography;
70nm node;
90nm 1/2 pitch;
high NA;
99.
Investigation of Inspection of Chromeless AAPSM
机译:
无铬AAPSM检查的调查
作者:
Darren Taylor
;
Matthew Lassiter
;
Benjamin Eynon
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
phase shift;
chromeless;
lithography;
100.
Investigation of Iso-Focal Characteristics of Line Pattern in Dark Field Imaging
机译:
暗场成像中线图案的等距特性研究
作者:
Shuji Nakao
;
Shinji Tarutani
;
Ayumi Minamide
;
Akira Tokui
;
Kouichirou Tsujita
;
Ichirou Arimoto
会议名称:
《Conference on Optical Microlithography XV Pt.2, Mar 5-8, 2002, Santa Clara, USA》
|
2002年
关键词:
dark field imaging;
iso-focal characteristics;
modified illumination;
atten-PSM;
mask error factor;
意见反馈
回到顶部
回到首页