掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on photomask and next-generation lithography mask technology
Conference on photomask and next-generation lithography mask technology
召开年:
2014
召开地:
Yokohama(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Comparative study on MEEF and dose latitude between attenuated PSM and Cr binary mask
机译:
减毒PSM与CR二元面膜之间的MEEF和剂量纬度的比较研究
作者:
Hyun-Joon Cho
;
Yong-Hoon Kim
;
Sung-Woon Choi
;
Woo-Sung Han
;
Jung-Min Sohn
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
MEEF(mask error enhanceemnt factor);
DEEF(dose error enhancement factor;
OAI(off-axis illumination);
Att.PSM(attenuated phase shift mask);
low-k1 lithography;
aerial image contrast;
resist threshold;
2.
New approach to mask and wafer performance optimization for System on a Chip (SOC) devices
机译:
芯片(SOC)设备上系统掩模和晶圆性能优化的新方法
作者:
Gidi Gottlib
;
Yair Eran
;
Shirley Hemar
;
Ami Sade
;
Wolf Staud
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
3.
Another Look at Stepper Lens Reduction and Field Size
机译:
另一种看台镜头减少和场尺寸
作者:
Harry J. Levinson
;
Paul W. Ackmann
;
Lori Peters
;
John Arnaud
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Lithography;
masks;
reticles;
lens reduction;
4.
Novel Methodology for 130-nm DRAM Cell Mask Size Optimization
机译:
130-NM DRAM细胞掩模大小优化的新型方法
作者:
H. Kanemitsu
;
K. Nagai
;
M. Asano
;
T. Sutani
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
5.
Improving Reticle Quality through Reticle Blank Inspection
机译:
通过光栅空白检查提高掩盖质量
作者:
William B. Howard
;
Kevin Krause
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
PBS;
895I;
STARlight;
blank;
reticle;
defects;
6.
ArF Half-Tone PSM Cleaning Process Optimization for Next-generation Lithography.
机译:
ARF半色调PSM清洁过程优化下一代光刻。
作者:
Yong-Seok Son
;
Seong-Ho Jeong
;
Jeong-Bae Kim
;
Hong-Seok Kim
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
ArF PSM Cleaning;
Phase amp;
Transmittance;
Particle;
ArF-Half tone;
7.
Evaluation of loading effect of NLD dry etching
机译:
NLD干蚀刻的负载效应评估
作者:
Takayuki Iwamatsu
;
Tatsuya Fujisawa
;
Koji Hiruta
;
Hiroaki Morimoto
;
Noriyuki Harashima
;
Takaei Sasaki
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
loading effect;
chrome dry etching;
neutral loop discharge;
CD uniformity;
8.
Magnetic neutral loop discharge etching for 130 nm generation photomask fabrication
机译:
磁空环排出蚀刻130nm代光掩模制造
作者:
Mikio Katsumata
;
Hiroichi Kawahira
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
photomask;
dry etching;
NLD etching;
CD uniformity;
linearity;
loading effect;
9.
Pellicle for F2 Laser Lithography
机译:
用于F2激光光刻的薄膜
作者:
T.Shirasaki
;
M.Kashida
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Pellicle;
F_2 excimer laser;
Lithography;
Fused silica;
Out-gas;
10.
In-line Verification of Line width Uniformity for 0.18 and Below Design Rule Reticles
机译:
在线宽度均匀的在线验证0.18及以下设计规则解剖
作者:
T. Tan
;
S.C. Kuo
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
11.
Reticle defect sizing of optical proximity correction defects using SeM imaging and image analysis techniques
机译:
使用SEM成像和图像分析技术光阑近距离校正缺陷的掩模版缺陷尺寸
作者:
Larry Zurbrick
;
Lantian Wang
;
Paul Konicek
;
Ellen Laird
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
OPC;
defect measurement;
defect printability;
12.
Results from a new laser pattern generator for 180 nm photomasks
机译:
用于180nm光掩模的新型激光图案发生器的结果
作者:
Tomas Vikholm
;
Lars Kjellberg
;
Per Askebjer
;
Steve Haddleton
;
Johan Larsson
;
Mans Bjuggren
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
13.
Improvement of ZEP process for advanced mask fabrication
机译:
提高淋浴工艺的高级面膜制造
作者:
Yasuyuki Kushida
;
Yoichi Usui
;
Hisatsugu Shirai
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
mask;
ZEP7000;
resist sensitivity;
CD uniformity;
14.
New Concept i-Line Stepper for Mask Fabrication
机译:
用于面具制造的新概念I线步进
作者:
N.Irie
;
K.Muramatsu
;
Y.Ishii
;
N.Magome
;
T.Umatate
;
S.Kyoh
;
S.Tanaka
;
S.Inoue
;
I.Higashikawa
;
I.Mori
;
K.Okumura
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
stepper;
stitching;
gradation filter;
CD uniformity;
TAT;
SoC;
15.
Improvement of the resolution and accuracy of chemical-amplification positive resist for 0.13μm-reticle fabrication
机译:
改善化学扩增阳性抗蚀剂的分辨率和精度为0.13μm - 掩模版制造
作者:
Tadashi Arai
;
Toshio Sakamizu
;
Kei Kasuya
;
Kohji Katoh
;
Takashi Soga
;
Hidetaka Saitoh
;
Hiroshi Shiraishi
;
Morihisa Hoga
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
novolak-based chemical-amplification resist;
resist resolution;
acid-diffusion control;
neutral-salt additives;
16.
Advanced FIB mask repair technology for ArF Lithography
机译:
ARF光刻的先进FIB面具修复技术
作者:
Koji Hiruta
;
Shinji Kubo
;
Hiroaki Morimoto
;
Anto Yasaka
;
Ryoji Hagiwara
;
Tatsuya Adachi
;
Yasutaka Morikawa
;
Kazuya Iwase
;
Naoya Hayashi
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
FIB mask repair;
repair technology;
ion beam;
advanced mask;
17.
Optical column of the mask-scan eb mask writer test stand
机译:
掩模扫描EB面罩作家试验台的光学柱
作者:
Naoharu Shimomura
;
Munehiro Ogasawara
;
Jun Takamatsu
;
Hitoshi Sunaoshi
;
Kiyoshi Hattori
;
Shusuke Yoshitake
;
Yuuji Fukudome
;
Kiminobu Akeno
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
electron beam;
throughput;
mask-scan;
deflector;
aberration;
18.
Impact of MEF on 0.15- mu m KrF lithography
机译:
MEF对0.15 - MU M KRF光刻的影响
作者:
Haruo Iwasaki
;
Hiroyoshi Tanabe
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Isolated lines;
Line and space (Lamp;
S);
Isolated hol3es;
Dense holes;
Mask error enhancement factor (MEF);
0.15- mu m KrF lithography;
19.
EUV Mask Absorber Characterization and Selection
机译:
EUV掩模吸收器表征和选择
作者:
Pei-yang Yan
;
Guojing Zhang
;
Patrick Kofron
;
Jeff Powers
;
Mark Tran
;
Ted Liang
;
Alan Stivers
;
Fu-Chang Lo
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
EUV mask;
EUV mask absorber;
EUV lithography;
Absorber etch;
20.
Lithography Performance of Contact Holes - Part II: Simulation of the Effects of Reticle Corner Rounding on Wafer Pritn Performance
机译:
接触孔的光刻性能 - 第二部分:模拟掩模版角舍入晶圆Pritn性能的仿真
作者:
Chris A. Mack
;
Charles Sauer
;
Suzanne Weaver
;
Jan Chabala
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Lithography simulation;
PROLITH/3D;
contact holes;
21.
Surface preparation of EUVL-mask substrate for multi-layer coating by supersonic hydrocleaning technique
机译:
超声加氢线技术的多层涂层Euvl掩模基板的表面制备
作者:
N. Hirano
;
H. Hoko
;
E. Hoshino
;
T. Ogawa
;
A. Chiba
;
H. Yamanashi
;
M. Takahashi
;
S. Okazaki
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
EUVL;
EUV Lighography;
masks;
cleaning;
defects;
22.
Mask Critical Dimension Error on Optical Lithography
机译:
光版印光术上的屏蔽关键尺寸错误
作者:
Tae-Seung Eom
;
Sang-Sool Koo
;
Seung-Weon Paek
;
Hee-Bom Kim
;
Chang-Nam Ahn
;
Ki-Ho Baik
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
MEF;
DAIM;
Aerial Image Contrast;
Diffusion Length;
PSM Error;
23.
Mask Roadmap, Mask Technology Trend, Critical Issues, and Activities of International SEMATECH
机译:
面具路线图,面具技术趋势,国际SEMATECH的关键问题和活动
作者:
Wally Carpenter
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Semiconductor roadmap;
photomask;
critical issues;
infrastructure;
technology trends;
24.
Proximity Effect Correction For Reticle Fabrication
机译:
掩盖制造的邻近效应校正
作者:
Masao Sugiyama
;
Shiji Kubo
;
Kouji Hiruta
;
Takayuki Iwamatsu
;
Tatsuya Fujiawa
;
Hiroaki Morimoto
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Electron beam;
Mask writer;
Chemically amplified resist;
50kV;
Proximity effect correction;
25.
MASK Patterns Correction for Advanced Device
机译:
用于高级设备的掩模模式校正
作者:
Y.Watanabe
;
M. Minemura
;
K. Takahashi
;
T. Okada
;
K. Suzuki
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
26.
The Impact of Pattern Proximity Correction on Die-to-Database Inspection
机译:
模式邻近校正对模具对数据库检查的影响
作者:
Anja Rosenbusch
;
Vicky Bailey
;
Yair Eran
;
Reuven Falah
;
Shirley Hamar
;
Niel Holmes
;
Andrew C. Hourd
;
Hartmut Kirsch
;
Andrew McArthur
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Laser proximity correction;
CD uniformity;
defect inspection;
false defect calls;
Line width bias monitor;
Photomask;
27.
Applications of MICP Surce for Next Generation Photomask Process
机译:
MICP围巾对下一代光掩模过程的应用
作者:
Hyuk-Joo Kwon
;
Byung-Soo Chang
;
Boo-Yeon Choi
;
Kyung-Ho Park
;
Soo-Hong Jeong
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Dry Etcher;
Plasma uniformity;
MICP;
Critical dimension uniformity;
28.
Spray Developer for ZEP7000
机译:
喷雾开发人员为Zep7000
作者:
Atsushi Kawata
;
Kakuei Ozawa
;
Nobunori Abe
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
EB resist;
ZEP7000;
ZED-450;
ZED-500;
spray development;
development time;
29.
Development of a fast line width correction system
机译:
开发快线宽度校正系统
作者:
Ryuji Takenouchi
;
Isao Ashida
;
Hiroichi Kawahira
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
optical proximity effect correction;
geometrical operation;
scan line method;
parallel processing;
30.
150nm DR Contact Holes Die-to-Database Inspection
机译:
150NM DR接触孔钻到数据库检查
作者:
Shen-Chung Kuo
;
Taiwan Mask
;
Clare Wu
;
Yair Eran
;
Wolf Staud
;
Shirley Hemar
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
31.
Cluster Tool Solution for Fabrication and Qualification of Advanced Photomasks
机译:
用于制造和高级光掩模资格的集群工具解决方案
作者:
Thomas Schatz
;
Hans Hartmann
;
Kai Peter
;
Frederic Lalanne
;
Olivier Maurin
;
Emanuele Baracchi
;
Corinne Miramond
;
Hans-Jurgen Bruck
;
Gerd Scheuring
;
Thomas Engel
;
Yair Eran
;
Karl Sommer
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
photomask;
automation;
tool synergy;
back end cluster;
Q-CAP;
printability;
mask quality assessment;
32.
Advanced FIB mask repair technology for ArF Lithography
机译:
ARF光刻的先进FIB面具修复技术
作者:
Koji Hiruta
;
Shinji Kubo
;
Hiroaki Morimoto
;
Anto Yasaka
;
Ryoji Hagiwara
;
Tatsuya Adachi
;
Yasutaka Morikawa
;
Kazuya Iwase
;
Naoya Hayashi
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
FIB mask repair;
repair technology;
ion beam;
advanced mask;
33.
Improvement of the resolution and accuracy of chemical-amplification positive resist for 0.13 mu m-reticle fabrication
机译:
改善化学扩增抗蚀剂的分辨率和精度为0.13μm-kclicle制造
作者:
Tadashi Arai
;
Toshio Sakamizu
;
Kei Kasuya
;
Kohji Katoh
;
Takashi Soga
;
Hidetaka Saitoh
;
Hiroshi Shiraishi
;
Morihisa Hoga
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
novolak-based chemical-amplification resist;
resist resolution acid-diffusion control;
neutral-salt additives;
34.
Improvement of Cr dry etching characteristics with MERIE system
机译:
利用Merie系统改进Cr干蚀刻特性
作者:
Hitoshi Handa
;
Satoshi Yamauchi
;
Hisatsugu Shirai
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Cr dry etching;
MERIE;
magnetic field;
SAL-601;
ZEP-7000;
35.
Next generation lithography mask inspection
机译:
下一代光刻面膜检查
作者:
Noah Bareket
;
Steve Biellak
;
Don Pettibone
;
Stan Stokowski
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Mask inspection;
NGL;
substrate inspection;
pattern inspection;
36.
Gate CD control for Full Chip Using Total Process Proximity Based Correction Method
机译:
全芯片的门CD控制使用总处理邻近的校正方法
作者:
Byung Ho Nam
;
Jong O Park
;
Dai Jong Lee
;
Jong Ho Cheong
;
Young Ju Hwang
;
Young Jin Song
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
CD control;
OPC;
loading effect;
TPPC;
PPE;
Phase shift mask;
Modified illumination;
37.
A 150 nm mask fabrication process using thin ZEP 7000 resist, GHOST and dry etch for the MEBES 5000 pattern generator
机译:
使用薄淋巴7000抗蚀剂,幽灵和干蚀刻的150nm面膜制造工艺5000图案发生器
作者:
Myung Yong Kim
;
Jong Hwa Lee
;
Young Jin Yoon
;
Boo Yeon Choi
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
thin ZEP 7000 resist;
advanced process;
plasma etch;
chrome etch;
38.
Dose latitude dependency on resist contrast in e-beam mask lithography
机译:
对抗蚀剂对抗膜光刻中的抗蚀剂的剂量纬度依赖性
作者:
Byung-Cheol Cha
;
Seong-Yong Moon
;
Won-Tai
;
Ki
;
Seung-Hoon Yang
;
Seong-Woon Choi
;
Woo-Sung Han
;
Hee-Sun Yoon
;
Jung-Min Sohn
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
CD uniformity;
dose latitude;
overdevelopment;
resist contrast proximity effect;
39.
Development of photomask process with precise CD control, and an approach for DFM (Defect Free Manufacturing) using a cluster tool
机译:
使用群集工具开发精确CD控制的光掩模过程,以及DFM(缺陷无缺陷制造)的方法
作者:
Shiho Sasaki
;
Toshifumi Yokoyama
;
Masa-aki Kurihara
;
Hiroyuki Miyashita
;
Naoya Hayashi
;
Hisatake Sano
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
photomask;
CD control;
CD measurement;
defect free manufacturing;
40.
A CA Resist with High Sensitivity and Sub 100nm Resolution for Advanced Mask Making
机译:
具有高灵敏度和亚100nm分辨率的CA抗蚀剂,用于高级掩模制作
作者:
Wu-Song Huang
;
Ranee Kwong
;
John Hertley
;
Wayne Moreau
;
Christopher Magg
;
Mark Lawliss
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
resist;
E-beam;
chemical amplification;
ketals;
shaped beam;
mask making;
41.
Phase Defects on DUV Alternating PSMs
机译:
DuV交替PSM上的相位缺陷
作者:
Ikuo Yoneda
;
Hideki Kanai
;
Shinji Yamaguchi
;
Iwao Higashikawa
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Alternating PSMs;
Programmed phase shifter defect;
Logic-Gate pattern;
42.
Investigation of Fast and Accurate Reticle Defect Assessment Methods using STARlight~(TM) for Chrome-on-Glass Reticle defects
机译:
使用星光〜(TM)对铬玻璃掩模帽缺陷的快速准确掩模型缺陷评估方法的研究
作者:
Ingrid B. Peterson
;
Kaustuve Bhattacharyya
;
Enio Carpi
;
Darius Brown
;
Martin Verbeek
;
Douglas A. Bernard
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Printability;
simulation;
reticle defects;
mask inspection;
43.
Analysis of Reticle Deformation, Reduction Ratio and MEEF of Future Optical Lithography
机译:
未来光学光刻的掩模压缩,减少率和MEEF分析
作者:
Kenichi Kotoku
;
Koji Mikami
;
Ryuich Ebinuma
;
Yuichi Yamada
;
Yuan Zhang
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
reduction ratio;
reticle size;
mask error enhancement factor;
cost of ownership, chip size;
throughput;
44.
Newly developed mask inspection system with DUV laser illumination
机译:
具有DUV激光照明的新开发的面膜检测系统
作者:
Katsuki Oohashi
;
Hiromu Inoue
;
Takehiko Nomura
;
Akira Ono
;
Mitsuo Tabata
;
Hitoshi Suzuki
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
DUV laser;
laser illumination;
speckle noise;
phase plate;
45.
Reticle Inspection System Using DUV Wavelength and New Algorithm Platform for Advanced Reticle Inspection for 0.13 Micron Technology Node
机译:
用DUV波长和新的算法平台进行掩模版检验系统,为0.13微米技术节点进行高级掩模版检查
作者:
D. Alles
;
P. Ter Beek
;
S. Juang
;
J. Wiley
;
K. Hsia
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
46.
Critical defects in X-ray masks for 100 nm patterns
机译:
X射线掩模的关键缺陷100 nm模式
作者:
H. Watanabe
;
Y. Matsui
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Defects;
X-ray masks;
defect inspection;
X-ray lithography;
Printability;
MEF;
47.
A new photomask pattern generation method based on i-line stepper
机译:
一种基于I线步进器的新型光掩模模式生成方法
作者:
S.Kyoh
;
S.Tanaka
;
S.Inoue
;
I.Higashikawa
;
I.Mori
;
K.Okumura
;
N.Irie
;
K.Muramatsu
;
Y.Ishii
;
N.Magome
;
T.Umatate
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
48.
Development of photomask processwith precise CD control, and an approach for DFM (Defect Free Manufacturing) using a cluster tool
机译:
Photomask Process的开发精确CD控制,以及使用群集工具的DFM(无缺陷制造)的方法
作者:
Shiho Sasaki
;
Toshifumi Yokoyama
;
Masa-aki Kurihara
;
Hiroyuki Miyashita
;
Naoya Hayashi
;
Hisatake Sano
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
photomask;
CD control;
CD measurement;
defect free manufacturing;
49.
Structual and Thickness Distribution Evaluation of a Multi-Layer Photomask Blank with a X-Ray Reflectivity Method
机译:
具有X射线反射法的多层光掩模坯料的结构和厚度分布评价
作者:
Teruyoshi Hirano
;
Hiroshi Wada
;
Masao Otaki
;
Ryuji Matsuo
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Photomask Blank;
Thickness;
Thickness distribution;
X-ray Reflectivity;
Grazing-incidence;
50.
The ALTA 3700: Extending the Application Space of the ALTA 3500 Laser Reticle Writer
机译:
ALTA 3700:扩展ALTA 3500激光掩模版作家的应用空间
作者:
C. Morgante
;
H. C. Hamaker
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
ALTA 3700;
ALTA 3500;
CD uniformity;
acousto-optic modulator;
8-pass printing;
humidity effects;
iso-dense CD bias;
51.
Mask Cost of Ownership for Advanced Lithography
机译:
先进光刻的面具所有权成本
作者:
Ed Muzio
;
Phil Seidel
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Mask cost;
e-beam cost;
cost of ownership;
process flow;
mask yield;
yield forecast;
52.
Universal Inspection Standard for Evaluation of Inspection System and Algorithm Sensitivity and Runability
机译:
用于评估检验系统和算法灵敏度和运行性的通用检查标准
作者:
Jerry X. Chen
;
Charles Howard
;
Kong Son
;
Franklin D. Kalk
;
IL-Ho Lee
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Universal Inspection Standard (UIS~(TM));
Runability;
Sensitivity;
Capability;
Inspection Systems;
53.
Current Status of NGL Masks
机译:
NGL Masks的当前状态
作者:
David M. Walker
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
NGL;
Mask;
PREVAIL;
SCALPEL;
EPL;
IPL;
Stencil;
EUV;
Membrane;
54.
The ALTA~circleR 3700: Extending the Application Space of the ALTA 3500 Laser Reticle writer
机译:
Alta〜Circler 3700:扩展AltA 3500激光掩模版作家的应用空间
作者:
C. Morgante
;
H. C. Hamaker
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
ALTA 3700;
ALTA 3500;
CD uniformity;
acousto-optic modulator;
8-pass printing;
humidity effects;
iso-dense CD bias;
55.
Integration of Optical Proximity Correction Strategies in Strong Phase Shifters design for Poly-Gate Layers
机译:
多栅极层强相改进策略的光学邻近校正策略的集成
作者:
Christopher Spence
;
Marina Plat
;
Emile Sahouria
;
Nick Cobb
;
Frank Schellenberg
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
56.
Next Generation Lithography Mask Fabrication at the NGL-MCOC
机译:
NGL-MCOC的下一代光刻面膜制造
作者:
Michael Lercel
;
Kenneth Racette
;
Christopher Magg
;
Mark Lawliss
;
Kevin Collins
;
Monica Barrett
;
Michael Trybendis
;
Lucien Bouchard
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Next Generation Lithography;
Mask;
Electron Beam Projection Lithography;
X-Ray Lithography;
Extreme Ultraviolet Lithography;
57.
Advanced e-beam reticle writing system for next generation reticle fabrication
机译:
下一代掩模版制造的先进电子束光纤写入系统
作者:
Tetsuji Nakahara
;
Kazui Mizuno
;
Suyo Asai
;
Yasuhiro Kadowaki
;
Katsuhiro Kawasaki
;
Hidetoshi Satoh
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
e-beam reticle writing system;
50kV electron gun;
variable-shaped beam;
vector scan;
continuous moving stage;
0.18-0.15 mu m node reticle;
parallel processing exposure function;
temperature control system;
58.
Development of halftone phase-shift blank and mask fabrication for ArF lithography
机译:
开发ARF光刻的半色调相移空白和掩模制造
作者:
Hideki Suda
;
Hideaki Mitsui
;
Osamu Nozawa
;
Hitoshi Ohtsuka
;
Megumi Takeuchi
;
Naoki Nishida
;
Yasushi Ohkubo
;
Masao Ushida
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
HtPSM;
MoSi-based;
ArF lithography;
irradiation durability;
59.
Effective OPC Pattern Generation using Chemically Amplified Resist for 0.13 mu m Design Rule Masks
机译:
使用化学放大抗蚀剂的有效OPC模式生成为0.13亩M MS设计规则面具
作者:
Il-Ho Lee
;
Kyung-Han Nam
;
Hong-Suk Kim
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Optical Proximity Correction (OPC);
0.13 mu m design rule;
Chemically Amplified Resist (CAR);
High Acceleration Voltage System;
Resolution;
60.
Pattern Shape Analysis tool for Defect Judgement of Photomask
机译:
图案形状分析工具,用于光掩模缺陷判断
作者:
Fuyuhiko Matsuo
;
Masao Otaki
;
Norithito Fukugami
;
Isao yonekura
;
Yuichi Fukushima
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Defect inspection;
OPC;
Image process;
Optical flux;
Mask quality;
61.
Lithography Performance of Contact Holes-Part I. Optimization of Pattern Fidelity Using MPG and MPG-II
机译:
接触孔的光刻性能 - 部分I.使用MPG和MPG-II进行图案保真度的优化
作者:
Suzanne Weaver
;
Maiying Lu
;
Jan Chabala
;
Dinh Ton
;
Charles Sauer
;
Chris mack
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
MEBES;
MPG;
MPG-II;
ProBEAM/3D;
contact holes;
62.
CAR blanks feasibility study results
机译:
汽车空白可行性研究结果
作者:
Yasunori Yokoya
;
Hideo Kobayashi
;
Masahiro Hashimoto
;
Fumiko Ohta
;
Keishi Asakawa
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
photomask;
reticle;
blanks;
CAR(chemically amplified resist);
PSB(post-spin baking);
PCD(post-coating delay);
PED(post-exposure delay);
PEB (post-exposure baking);
63.
Lithography Performance of Contact Holes - Part I. Optimization of Pattern Fidelity Using MPG and MPG-II
机译:
接触孔的光刻性能 - 第I部分。使用MPG和MPG-II的模式保真度优化
作者:
Suzanne Weaver
;
Maiying Lu
;
Jan Chabala
;
Dinh Ton
;
Charles Sauer
;
Chris Mack
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
MEBES;
MPG;
MPG-II;
ProBEAM/3D;
contact holes;
64.
Fogging Effect Compensation Technique for Photomask Making
机译:
光掩模制作的雾气效应补偿技术
作者:
Yuji Nozaki
;
Toshiyuki Tanaka
;
Katsuhiro Takushima
;
Seki Suzuki
;
Akihiro Endo
;
Kohei Sogo
;
Yasuki Kimura
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
Fogging effect;
descum;
development;
CD uniformity;
65.
Fabrication Process of Alternating Phase Shift Mask for Practical Use
机译:
交替相移掩模的制造工艺,用于实际使用
作者:
Naoyuki Ishiwata
;
Takema Kobayashi
;
Tomohiko Yamamoto
;
Hideaki Hasegawa
;
Satoru Asai
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
66.
Evaluation of printability and inspection of phase defects on hidden-shifter alternating phase-shift masks
机译:
对混血仪交替相移掩模的阶段缺陷的可印刷性和检查的评价
作者:
Edita Tejnil
;
Alan R. Stivers
;
Richard S. Schenker
;
Larry S. Zurbrick
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
alternating phase shift masks;
mask inspection;
phase defects defect printability;
glass defect repair;
67.
Current Status of 157-nm Photomask Technology Development
机译:
电流现状为157纳米光掩模技术开发
作者:
Giang Dao
;
Ronald Kuse
;
Kevin Orvek
;
Eric Panning
;
Roswitha Remling
;
Jun Fei Zheng
;
Munehiko Tsubosaki
;
Fu-Chang Lo
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
157-nm;
Absorber;
Pellicle;
Photomask;
Fused Silica;
68.
Elements of an Advanced Pattern Generator for 130-100 nm Maskmaking
机译:
130-100 NM MaskMaking的先进涂层发生器的元素
作者:
Varoujan Chakarian
;
Charles Sauer
;
Bassam Shamoun
;
Frank Chilese
;
David Trost
;
marek Zywno
;
Ulrich Hofmann
;
Robin Teitzel
;
Richard Prior
;
Frederick Raymond
;
Abe Ghanbari
;
Frank Abboud
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
关键词:
MEBES;
electron beam;
lithography;
CD control;
CD uniformity;
graybeam;
resist heating;
69.
Requirements for Lithography and Mask Technology from the Standpoint of the System LSI Business
机译:
从系统LSI业务的角度来看,光刻和掩模技术的要求
作者:
K. Kawate
;
T. Takigawa
;
H. Ishiuchi
;
M. Goto
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2000年
70.
CD variations from non-trivial mask related factors
机译:
非琐碎掩模相关因素的CD变化
作者:
Z. Mark Ma
;
Won Kim
;
Benjamen Rathsack
;
Guoqiang Xing
;
Mark Somervell
;
Hyesook Hong
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
CD control;
writing tool;
etch process;
mask blank;
pellicle;
mask metrology;
mask profile;
71.
100kV High Resolution E-Beam Lithography System, JBX-9300FS
机译:
100kV高分辨率电子束光刻系统,JBX-9300FS
作者:
Hitoshi Takemura
;
Hirofumi Ohki
;
Moriyuki Isobe
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
72.
Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node
机译:
用于测量和评估100nm光刻节点的掩模罩针孔缺陷的改进方法
作者:
Darren Taylor
;
Anthony Vacca
;
Larry Zurbrick
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
inspection;
pinhole;
printability;
73.
Comparison and Correlation of VSS Simulation Results Using Images from Different Inspection Systems
机译:
来自不同检测系统图像的VSS仿真结果的比较与相关性
作者:
Kevin Hung
;
Denis Lin
;
Rex Chou
;
Samuel Yang
;
Don Li
;
Alex Tseng
;
Hiromosa Unno
;
Toppan
;
Jiunn-Hung Chen
;
Jason Huang
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
defect inspection;
defect printability;
defect classification;
virtual stepper system;
mix-and-match;
74.
EUVL Mask Fabrication for the 45-nm Node
机译:
45-NM节点的EUVL面膜制造
作者:
Emily Fisch
;
Louis Kindt
;
Michael Lercel
;
Ken Racette
;
Carey Williams
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
75.
The 157nm Lithography Program at International SEMATECH
机译:
国际石油科技的157nm光刻计划
作者:
Giang Dao
;
Anthony Yen
;
Walt Trybula
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
76.
Simulation of Transmittance on the Effect of Resolution Enhancement of 100 nm Pattern with Attenuated Phase-Shifting Mask in 193 nm Lithography
机译:
在193nm光刻中与减毒相移掩模分辨率提高100nm图案分辨率提高的透射率模拟
作者:
Cheng-ming Lin
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
high transmittance;
attenuated phase-shifting mask;
contact hole;
resolution enhancement;
dark tone;
normalized image log-slope;
side-lobes;
77.
Study of Defect Printability Analysis on Alternating Phase Shifting Masks
机译:
交替相移掩模缺陷可印刷性分析的研究
作者:
Linyong Pang
;
Qi-De Qian
;
Kevin Chan Yasutaka Morikawa
;
Masaharu Nishiguchi
;
Naoya Hayashi
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
alternating aperture PSM;
defect inspection;
defect printability analysis;
78.
Process development of 6-inch EUV mask with TaBN absorber
机译:
带Tabn吸收器的6英寸EUV面罩的过程开发
作者:
Tsutomu Shoki
;
Mono Hosoya
;
Takeru Kinoshita
;
Hideo Kobayashi
;
Youichi Usui
;
Ryo Ohkubo
;
Shinichi Ishibashi
;
Osamu Nagarekawa
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
EUV mask;
TaBN absorber;
multilayer;
CrX buffer layer;
EUV lithography;
79.
A Novel Procedure For Mask Disposition Using Electrical Signatures of Mask Defects
机译:
使用掩模缺陷电签发的掩模配置的新方法
作者:
Artur Balasinski
;
Walter Iandolo
;
Oindrila Ray
;
Linard Karklin
;
Valery Axelrad
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
80.
High-performance DUV inspection system for 100nm-generation masks
机译:
高性能DUV检测系统100nm发电面具
作者:
Hideo Tsuchiya
;
Ikunao Isomura
;
Kazuhiro Nakashima
;
Kyoji Yamashita
;
Toshiyuki Watanabe
;
Takeshi Nishizaka
;
Hiroyuki Ikeda
;
Eiji Sawa
;
Masami Ikeda
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
inspection;
defect;
phase shift mask;
CD error;
die-to-database;
81.
A novel baking technology using halogen lamps for higher precision photomask fabrication
机译:
一种使用卤素灯的新型烘焙技术,用于更高的精密光掩模制造
作者:
Hideaki Sakurai
;
Masamitsu Itoh
;
Noboru Fujiwara
;
Satoshi Yasuda
;
Takiji Ishimura
;
Shigeru Wakayama
;
Shinichi Ito
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
82.
Application of vector scan electron beam lithography to 45nm node extreme ultraviolet lithography reticles
机译:
向量扫描电子束光刻在45nm节点极端紫外线光刻掩模中的应用
作者:
David Walker
;
DP Mathur
;
Clyde Su
;
Torey Huang
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
83.
Design flow automation for variable shaped beam pattern generators
机译:
用于变形梁图案发电机的设计流动自动化
作者:
Martin Bloecker
;
Gerd Ballhorn
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
design flow;
automation;
variable shaped beam;
pattern generator;
CATS~(TM);
distributed processing;
84.
Defect Dispositioning Using Mask Printability Analysis on Alternating Phase Shifting Masks
机译:
使用掩模可印刷性分析在交替相位移位面罩上的缺陷配置
作者:
Chung-Hsing Chang
;
Chen-Hao Hsieh
;
San-De Tzu
;
Chun-Ming Dai
;
Burn J. Lin
;
Linyong Pang
;
Qi-De Qian
;
Jiunn-Hung Chen
;
Jason Huang
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
alternating aperture phase shifting mask;
defect inspection;
defect printability;
defect dispositioning;
85.
Improvement of Critical Dimension Stability of Chemically Amplified Resist by Overcoat
机译:
通过外涂层改善化学放大抗蚀剂的临界尺寸稳定性
作者:
Teruhiko Kumada
;
Atsuko Sasahara
;
Kazuyuki Maetoko
;
Kunihiro Hosono
;
Takemichi Honma
;
Yuji Kodaira
;
Yukio Nakashiba
;
Masayoshi Tsuzuki
;
Yasutaka Kikuchi
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
chemically amplified resist;
post coating delay;
post exposure delay;
overcoat;
critical dimensions stability;
86.
Development of Reticle-Free Exposure Method with LCD Projection Image
机译:
LCD投影图像的无掩颈曝光方法的研制
作者:
Kazumitu Nakamura
;
Hiroshi Kubota
;
Akira Nakada
;
Tsuneo Inokuchi
;
Kouji Kosaka
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
optical lithography;
stepper;
g-line;
liquid crystal display;
reticle;
87.
Solution for 100nm -EMB-4000-
机译:
100nm-memb-4000的解决方案
作者:
Yoshiaki Hattori
;
Kiyoshi Hattori
;
Ken-ichi Murooka
;
Takayuki Abe
;
Satoshi Yasuda
;
Taiga Uno
;
Eiji Murakamai
;
Noriaki Nakayamada
;
Naoharu Shimomura
;
Ttsuyoshi Yamashita
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
88.
Detection of Half-tone PSM Pinhole with DUV Reflected Light Source
机译:
用DUV反射光源检测半色调PSM针孔
作者:
Takeshi Fujiwara
;
Hiromu Inoue
;
Kentaro Okuda
;
Takehiko Nomura
;
Mitsuo Tabata
;
Satoshi Endo
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
photolithography mask;
phase shift mask;
reflected light source;
defect;
inspection;
89.
Solution for 100nm - EBM-4000 -
机译:
解决方案100nm - EBM-4000 -
作者:
Yoshiaki Hattori
;
Kiyoshi Hattori
;
Ken-ichi Murooka
;
Takayuki Abe
;
Satoshi Yasuda
;
Taiga Uno
;
Eiji Murakamai
;
Noriaki Nakayamada
;
Naoharu Shimomura
;
Ttsuyoshi Yamashita
;
Noboru Yamada
;
Akihiro Sakai
;
Hirohiko Honda
;
Toshiaki Shimoyama
;
Kiyoshi Nakaso
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
90.
Pellicle-Induced Distortions in Advanced Photomasks
机译:
薄膜引起高级光掩模的畸变
作者:
Minoru Fujita
;
Masaya Akiyama
;
Masahiri Kondo
;
Hiroaki Nakagawa
;
Daniel Tanzil
;
Florence O. Eschbach
;
Eric P. Cotte
;
Roxann L. Engelstad
;
Edward G. Lovell
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
91.
A model of coating and drying process for the flat polymer film fabrication
机译:
扁平聚合物薄膜制造涂层和干燥过程模型
作者:
Hiroyuki Kagami
;
Ryuji Miyagawa
;
Atsushi Kawata
;
Daisuke Nakashima
;
Shinji Kobayashi
;
Takahiro Kitano
;
Kazuhiro Takeshita
;
Hiroshi Kubota
;
Tadahiro Ohmi
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
92.
Simulation Based Defect Printability Analysis on Attenuated Phase Shifting Masks
机译:
仿真基于缺陷可印刷性分析衰减相移掩模
作者:
Linyong Pang
;
Qi-De Qian
;
Kevin Chan
;
Nobuhito Toyama
;
Naoya Hayashi
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
attenuated PSM;
halftone mask;
defect inspection;
defect printability;
93.
Phase assignment for bright field of dense contact
机译:
相位分配的密集接触领域
作者:
Nail Tang
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
PSM;
alternating PSM;
phase assignment;
assist feature;
scattering bar;
OPC;
hybrid OPC;
94.
Pattern fidelity improvement by considering the underlying patterns at defocus
机译:
通过考虑散焦的潜在模式来解决富力学改善
作者:
Karl. Chiou
;
Jerry. Huang
;
Snow. Lee
;
C. Y. Lee
;
Nail. Tang
;
Janet. Peng
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
OPC;
rule base OPC;
model base OPC;
hybrid OPC;
95.
Simulation Method Using the Image Filter Method
机译:
使用图像滤波法的仿真方法
作者:
Masahiko Minemura
;
Kazuhiko Takahashi
;
Mitsuo Sakurai
;
Kazuya Sugawa
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
OPC;
optical simulation;
image filter;
fourier transform;
96.
A feasibility study of TaSiOx type Att-PSM for 157-nm lithography
机译:
Tasiox型ATT-PSM用于157-NM光刻的可行性研究
作者:
Kunio Watanabe
;
Osamu Yamabe
;
Noriyoshi Kanda
;
Jaehwan Kim
;
Noboru Uchida
;
Shigeo Irie
;
Toshifumi Suganaga
;
Toshiro Itani
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
157-nm lithography;
attenuated phase shifting mask;
hole pattern;
resolution enhancement technology;
aerial image simulation;
side lobe;
exposure experiment;
depth of focus;
mask error enhancement factor;
optical proximity correction;
97.
A Progressive Self-learning Photomask Defect Classification
机译:
逐步自学习光掩模缺陷分类
作者:
Eric C. Lynn
;
Shih-Ying Chen
;
Tyng-Hao Hsu
;
Chang-Cheng Hung
;
Chin-Hsiang Lin
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
98.
Generic hierarchical engine for mask data preparation
机译:
用于掩模数据准备的通用分层引擎
作者:
Christian K. Kalus
;
Wolfgang Roessl
;
Uwe Schnitker
;
Michal Simecek
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
mask data preparation;
hierarchical processing;
99.
Development of Hard Pellicle for 157 nm
机译:
157 nm的硬囊肿的开发
作者:
K.Okada
;
K.Ootsuka
;
I.Ishikawa
;
Y.Ikuta
;
H.Kojima
;
T.Kawahara
;
T.Minematsu
;
H.Mishiro
;
S. Kikugawa
;
Y.Sasuga
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
157-nm lithography;
hard pellicle;
transmission of pellicle;
durability to F2 laser irradiation;
hard pellicle bow of measurement;
100.
Etching Selectivity and Surface Profile of Attenuated Phase Shifting Mask Using CF_4/O_2/He Inductively Coupled Plasma (ICP)
机译:
使用CF_4 / O_2 / HE电感耦合等离子体(ICP)蚀刻衰减相移掩模的选择性和表面轮廓
作者:
Si Yeul Yoon
;
Se-Jong Choi
;
Young-Dae Kim
;
Dong-Hyuk Lee
;
Han-Sun Cha
;
Jin-Min Kim
;
Sang-Soo Choi
;
Soo Hong Jeong
会议名称:
《Conference on photomask and next-generation lithography mask technology》
|
2002年
关键词:
PSM;
MoSiON;
surface morphology;
profile;
ICP;
CF_4;
dry etch;
意见反馈
回到顶部
回到首页