掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Metrology, Inspection, and Process Control for Microlithography XX pt.2
Metrology, Inspection, and Process Control for Microlithography XX pt.2
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
电信科学
新潮电子
中国电子科学研究院学报
信号处理
电子与封装
光电技术应用
电子产品世界
电视工程
今日电子
信息网络安全
更多>>
相关外文期刊
Response
Communications technology
Latin America Telecom
Electronic Servicing & Technology
Journal of the Institution of Engineers (India)
Mobile Europe
Telegraph Engineers and of Electricians, Journal of the Society of
Terahertz Science and Technology, IEEE Transactions on
Telecom Markets
ECN
更多>>
相关中文会议
2006年中国数字电视与网络发展高峰论坛暨第十四届全国有线电视综合信息网学术研讨会
第十届全国MOCVD学术会议
中国西部青年通信学术会议
微波学会第三届全国毫米波亚毫米波学术会议
第六届全国人机语音通讯学术会议
2012中国通信网络规划优化大会(第五届)
第五届全国“信号与信息处理”联合学术会议暨陕西省生物医学工程学会二〇〇六年学术年会
图像、仿真、信息技术第二届联合学术会议
CPCA2011春季国际PCB技术/信息论坛
2002中国电视传媒与网络发展高峰会议
更多>>
相关外文会议
NATO Advanced Study Institute on Defects in SiO_2 and Related Dielectrics: Science and Technology, Apr 8-20, 2000, Erice, Italy
Conference on Machine Vision Applications in Industrial Inspection Ⅸ Jan 22-23, 2001, San Jose, USA
Conference on Laser Materials Processing Vol.85g: Solid-State & Diode Laser Applications, Electronics Processing, and William Steen Tribute, Nov 16-19, 1998, Orlando, FL USA
2013 Seventh International Conference on Distributed Smart Cameras
2019 Scientific Meeting on Electrical-Electronics & Biomedical Engineering and Computer Science
State-of-the-art program on compound semiconductors (SOTAPOCS) 55 -and- low-dimensional nanoscale electronic and photonic devices 6
Liquid Crystals X
Proceedings of the 10th International Conference on Wireless Information Networks and Systems
Synthesis and photonics of nanoscale materials XII
2015 5th International Conference on Information & Communication Technology and Accessibility
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Verification of the system of defect inspection on patterned wafers using sub-200 nm wavelength light
机译:
验证使用波长小于200 nm的光对图案化晶片进行缺陷检查的系统
作者:
Tetsuo Takahashi
;
Yoko Miyazaki
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Naoya Takeuchi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
wafer inspection;
bright-field optical system;
sub-200nm wavelength light (DUV light);
UV light (365nm);
reflectivity;
SiO_2 coat;
defect;
contrast;
pixel grey level (GL);
2.
Study of ADI(After Develop Inspection) Using Electron Beam
机译:
电子束对ADI(显影检查后)的研究
作者:
Misako Saito
;
Teruyuki Hayashi
;
Kaoru Fujihara
;
Kazuha Saito
;
Joseph Lin
;
Ryotaro Midorikawa
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
inspection;
photo resist;
electron beam;
3.
Swing Curve Measurement and Simulation for high NA Lithography
机译:
高NA光刻技术的摆动曲线测量和仿真
作者:
J. Bauer
;
U. Haak
;
K. Schulz
;
G. Old
;
A. Kraft
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
optical lithography;
swing curve;
lithography simulation;
lithography process control;
thin film optics;
interference;
high NA imaging;
4.
Superresolving optical microscope by means of two-photon entanglement
机译:
双光子纠缠超分辨光学显微镜
作者:
Naoki Fukutake
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
entangled-photon pairs;
spontaneous parametric down-conversion;
5.
Overlay Improvement by Using New Framework of Grid Compensation for Matching
机译:
通过使用新的网格补偿框架进行匹配来改善覆盖
作者:
Ayako Sukegawa
;
Shinji Wakamoto
;
Shinichi Nakajima
;
Masaharu Kawakubo
;
Nobutaka Magome
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
mix match;
overlay;
SDM;
GCM;
6.
Real-time On-line Monitoring of Process Water for Low Concentrations of Bacteria
机译:
低浓度细菌过程水的实时在线监测
作者:
John A. Adams
;
David McCarty
;
Kristina Crousore
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
light scatter;
bacteria;
real time;
on line;
water monitor;
particle counter;
7.
Critical Dimension AFM tip characterization and image reconstruction applied to the 45 nm node
机译:
关键尺寸AFM尖端表征和图像重建应用于45 nm节点
作者:
Gregory Dahlen
;
Marc Osborn
;
Hao-Chih Liu
;
Rohit Jain
;
William Foreman
;
Jason R. Osborne
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
AFM;
critical dimension;
metrology;
tip characterization;
image reconstruction;
mathematical morphology;
8.
Defining the Role of SEM Metrology for Advanced Process Control
机译:
定义SEM计量学在高级过程控制中的作用
作者:
A. Nikitin
;
A. Sicignano
;
D. Yeremin
;
M. Sy
;
T. Goldburt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
advanced process control;
SEM metrology;
9.
Detection Signal Analysis of Actinic Inspection of EUV Mask Blanks Using Dark-field Imaging
机译:
暗场成像法对EUV口罩毛坯进行光化检测的检测信号分析
作者:
Toshihiko Tanaka
;
Yoshihiro Tezuka
;
Tsuneo Terasawa
;
Toshihisa Tomie
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
EUV;
mask blanks;
actinic inspection;
phase defect;
surface roughness;
multilayer;
noise;
10.
Development of the Automatic Recipe Generation System for CD-SEM Using Design Data
机译:
利用设计数据开发CD-SEM自动配方生成系统
作者:
Ryoichi Matsuoka
;
Atsushi Miyamoto
;
Wataru Nagatomo
;
Hidetoshi Morokuma
;
Takumichi Sutani
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
CD-SEM;
OPC;
recipe generation;
SEM image;
design layout;
MLA method;
11.
Diffraction signature analysis methods for improving scatterometry precision
机译:
提高散射测量精度的衍射特征分析方法
作者:
Mike Littau
;
Darren Forman
;
Josh Bruce
;
Christopher J. Raymond
;
Steven G. Hummel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
scatterometry;
sensitivity;
precision;
metrology;
diffract;
lithography;
parameter correlation;
12.
Electron Beam Based Modification of Lithographic Materials And The Impact on Critical Dimensional Metrology
机译:
电子束对光刻材料的改性及其对临界尺寸计量学的影响
作者:
H. Marchman
;
G.F. Lorusso
;
D. Soltz
;
L. Grella
;
Z. Luo
;
J.D. Byers
;
J. Varner
;
S. Vedula
;
R. Kuppa
;
A.R. Azordegan
;
G. Storms
;
L.H. Leunissen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
13.
Faster Root Cause Analysis With Integrated SEM-FIB Application
机译:
集成SEM-FIB应用程序可更快地进行根本原因分析
作者:
S.K.Wee
;
D. Cheung
;
D. Chua
;
S. L. Ng
;
S. Keisari
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
SEM-FIB;
automatic defect review;
defect root cause analysis;
14.
Spatial Analysis of Line-edge Roughness through Scaling and Fractal Concepts Using AFM Techniques
机译:
使用AFM技术通过缩放和分形概念对线边缘粗糙度进行空间分析
作者:
Ning Li
;
Xuezeng Zhao
;
Weijie Wang
;
Hongbo Li
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
line edge roughness (LER);
atomic force microscope (AFM);
image processing;
fractal;
15.
Use In-line AFM to Monitor STI Profile in 65nm Technology Development
机译:
在65nm技术开发中使用串联AFM监视STI轮廓
作者:
Ming Hsun Hsieh
;
J. H. Yeh
;
Mingsheng Tsai
;
Chan Lon Yang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
AFM;
STI;
in-line;
65nm;
16.
Landing Energy Influence on CD-SEM Measurement Precision and Accuracy
机译:
着陆能量对CD-SEM测量精度和准确性的影响
作者:
Anne-Lise Fabre
;
Johann Foucher
;
M. Poulingue
;
P. Fabre
;
Ganesh Sundaram
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
17.
Low Pressure Drop Airborne Molecular Contaminant Filtration Using Open-Channel Networks
机译:
使用开放通道网络的低压降机载分子污染物过滤
作者:
rew J. Dallas
;
Lefei Ding
;
Jon Joriman
;
Dustin Zastera
;
Kevin Seguin
;
James Empson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
chemical filtration;
airborne molecular contamination;
AMC;
contamination control;
low pressure drop;
open-channel networks;
monoliths;
VOC removal;
18.
Koehler Illumination for High-resolution Optical Metrology
机译:
高分辨率光学计量的科勒照明
作者:
Yeung Joon Sohn
;
Bryan M. Barnes
;
Lowell Howard
;
Richard M. Silver
;
Ravikiran Attota
;
Michael T. Stocker
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
koehler illumination;
koehler factor;
high-resolution metrology;
back focal plane;
angular homogeneity;
field aperture pattern transfer method;
19.
Mask Inspection Method using the Electron Beam Inspection System based on Projection Electron Microscopy
机译:
基于投影电子显微镜的电子束检查系统的掩模检查方法
作者:
Atsushi Onishi
;
Ichirota Nagahama
;
Yuichiro Yamazaki
;
Nobuharu Noji
;
Toru Kaga
;
Kenji Terao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
EBI-PEM;
EBI-SEM;
defect inspection;
electron beam;
mask inspection;
20.
New OPC Verification Method using Die-to-Database Inspection
机译:
使用管芯到数据库检查的新OPC验证方法
作者:
Hyunjo Yang
;
Jaeseung Choi
;
Byungug Cho
;
Jongkyun Hong
;
Jookyoung Song
;
Donggyu Yim
;
Jinwoong Kim
;
Masahiro Yamamoto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
systematic defect;
CAD data;
OPC verification;
CD distribution diagram;
PWQ;
21.
Modeling of Substrate Current Measurement and Charge Transfer in Insulators
机译:
绝缘子中衬底电流测量和电荷转移的建模
作者:
Yeong-Uk Ko
;
Keizo Yamada
;
Takeo Ushiki
;
Robert Newcomb
会议名称:
《》
|
2006年
关键词:
substrate current measurement;
in-situ monitoring;
charge transfer;
monte carlo simulation;
22.
Optimization of an Integrated and Automated Macro Inspection System for the Utilization of Wafer Color Variation Detection in a Photolithography Cluster
机译:
利用光刻集群中晶片色差检测的集成自动宏观检测系统的优化
作者:
Stephen J. Lickteig
;
Thomas W. Forstner
;
Anthony R. Barnett
;
David S. Dixon
;
Vinayan C. Menon
;
Robert L. Isaacson
;
Matthew C. Nicholls
;
Yonqiang Liu
;
Pinar Kinikoglu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
defect;
color;
macro;
inspection;
detection;
classification;
integrated metrology;
photolithography;
system optimization;
23.
Image resolution monitoring technique for CD-SEM
机译:
CD-SEM图像分辨率监控技术
作者:
Mayuka Oosaki
;
Chie Shishido
;
Hiroki Kawada
;
Robert Steffen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
CD-SEM;
resolution;
FFT;
ACF;
CG image noise;
sample dependence;
24.
High-performance imprint lithography and novel metrology methods using multifunctional perfluoropolyethers
机译:
使用多功能全氟聚醚的高性能压印光刻和新颖的计量方法
作者:
Ginger Denison Rothrock
;
Benjamin Maynor
;
Jason P. Roll
;
Joseph M. DeSimone
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
perfluoropolyether;
soft lithography;
semiconductor metrology;
wafer defect inspection;
imprint lithography;
dual damascene metrology;
25.
High-throughput contact critical dimension and gray level value measurement
机译:
高通量接触临界尺寸和灰度值测量
作者:
Hong Xiao
;
Wei Fang
;
Yan Zhao
;
Mark Huang
;
Kai Wang
;
Darren Wong
;
Jack Jau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
26.
In-line Monitoring of Advanced Copper CMP Processes with Picosecond Ultrasonic Metrology
机译:
使用皮秒超声计量学对高级铜CMP工艺进行在线监测
作者:
Ming Hsun Hsieh
;
J. H. Yeh
;
Mingsheng Tsai
;
Chan Lon Yang
;
John Tan
;
Sean Patrick Leary
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
chemical mechanical planarization;
picosecond ultrasonics;
dishing;
erosion;
copper;
27.
Improving Scanner Productivity and Control through Innovative Connectivity Application
机译:
通过创新的连接应用程序提高扫描仪的生产率和控制力
作者:
Yuuki Ishii
;
Shinji Wakamoto
;
Atsuhiko Kato
;
Brad Eichelberger
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
mix match overlay;
APC;
SDM;
GCM;
scanner-metrology link;
28.
Increased yield and tool life by reduction of DUV Photo contamination using 'Parts per trillion' pure purge gases
机译:
使用“百万分之几”的纯净吹扫气体减少DUV照片污染,从而提高产量和工具寿命
作者:
Cristian Loni
;
Marco Succi
;
Larry Rabellino
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
airborne molecular contamination;
AMC;
compressed dry air;
CDA;
purification;
chemical filtration;
29.
Lens-cementing technology used in optical system of DUV wavelength region -Selection of optical cement and degradation evaluation by DUV irradiation-
机译:
在DUV波长区域的光学系统中使用透镜粘接技术-光学胶粘剂的选择和DUV照射的降解评估
作者:
Takashi Takahashi
;
Susumu Saito
;
Toshiki Okumura
;
Etsuya Suzuki
;
Tatsuya Kojima
;
Shinsuke Motomiya
;
Hidesuke Maruyama
;
Hitoshi Suzuki
;
Koji Machida
;
Toru Tojo
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
DUV;
248 nm and 193 nm wavelength;
transmittance;
objective lens;
optical lithography;
inspection system;
30.
Lithography Rework Reduction and Improved Process Control using AIM Targets on Aluminum Layers in the High Volume Production of 110nm DRAM Devices
机译:
在110nm DRAM器件的批量生产中,使用铝层上的AIM目标减少光刻返工并改善工艺控制
作者:
Detlef Hofmann
;
Frank Rabe
;
Yosef Avrahamov
;
Christian Sparka
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
overlay metrology;
aluminum;
lithography process control;
rework reduction;
archer AIM;
31.
Leakage Monitoring and Control with an Advanced e-Beam Inspection System
机译:
借助先进的电子束检查系统进行泄漏监控
作者:
Hermes Liu
;
J H Yeh
;
Chan Lon Yang
;
S C Lei
;
J Y Kao
;
Y D Yang
;
Mingsheng Tsai
;
S F Tzou
;
Wei-Yih Wu
;
Hong-Chi Wu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
32.
An Investigation of the Removal of 1-Methyl-2-Pyrrolidinone (NMP)
机译:
去除1-甲基-2-吡咯烷酮(NMP)的研究
作者:
rew J. Dallas
;
Lefei Ding
;
Jon Joriman
;
Brian Hoang
;
Kevin Seguin
;
Dustin Zastera
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
chemical filtration;
airborne molecular contamination;
contamination control;
1-methyl-2-pyrrolidinone;
1-methyl-2-pyrrolidone;
1-methylpyrrolidone;
N-methylpyrrolidone;
NMP;
VOC removal;
weak organic bases;
amides;
33.
An In-line Image Quality Monitoring System for Imaging Device Fabrication using Automated Macro-Inspection
机译:
使用自动宏观检查的成像设备制造在线图像质量监控系统
作者:
Tohru Sasaki
;
Kunihiko Hikichi
;
Dai Sugimoto
;
Nozomu Izumi
;
Mitsuru Uda
;
Atsushi Kohayase
;
Hiroshi Yamashita
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
macro-inspection;
CCD;
imaging device;
yield;
mura;
in-line monitor;
34.
65 nm Photolithography Process Window Qualification Study with Advanced e-beam Metrology and Inspection Systems
机译:
使用先进的电子束计量和检测系统进行65 nm光刻工艺窗口鉴定研究
作者:
Ruei Hung Hsu
;
Benjamin Szu-Min Lin
;
Wei-Yin Wu
;
Hong Xiao
;
Jack Jau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
critical dimension (CD);
focus exposure matrix (FEM);
process window qualification (PWQ);
dark voltage contrast (DVC), and gray level value (GLV);
35.
A Novel Approach to Characterize Trench Depth and Profile using the 3D Tilt Capability of a Critical Dimension-Scanning Electron Microscope at 65nm Technology Node
机译:
一种在65nm技术节点上使用临界尺寸扫描电子显微镜的3D倾斜能力表征沟槽深度和轮廓的新颖方法
作者:
R. Srivastava
;
P. Yelehanka
;
H. A. Kek
;
S. L. Ng
;
V. Srinivasan
;
R. Peltinov
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
RC delay;
trench depth;
profile reconstruction;
tilt;
damascene;
36.
Aerial Image Sensor: In-Situ Scanner Aberration Monitor
机译:
航拍图像传感器:原位扫描仪像差监视器
作者:
Jacek . K. Tyminski
;
Tsuneyuki Hagiwara
;
Naoto Kondo
;
Hiroshi Irihama
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
37.
AIM technology for Non-Volatile Memories microelectronics devices
机译:
非易失性存储器微电子设备的AIM技术
作者:
Pier Luigi Rigolli
;
Laura Rozzoni
;
Catia Turco
;
Umberto Iessi
;
Marco Polli
;
Elyakim Kassel
;
Pavel Izikson
;
Yosef Avrahamov
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
overlay metrology;
copper;
CMP;
archer AIM;
38.
An advanced study for defect disposition through 193nm aerial imaging
机译:
通过193nm航空成像技术进行缺陷处理的高级研究
作者:
Arndt C. Duerr
;
Axel M. Zibold
;
Klaus Boehm
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
AIMS;
defect disposition;
aerial imaging;
mask metrology;
printability;
automation;
optical imaging;
193nm lithography;
mask;
reticle;
39.
CD Variations Correction by Local Transmission Control of Photomasks Done with a Novel Laser based Process
机译:
通过基于激光的新工艺完成的光掩模的局部透射控制来校正CD变化
作者:
Eitan Zait
;
Vladimir Dmitriev
;
Sergey Oshemkov
;
Guy Ben-Zvi
;
Dany Michaelis
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
40.
Carbon Nanotube AFM Probes for Microlithography Process Control
机译:
用于微光刻工艺控制的碳纳米管AFM探针
作者:
Hao-Chih Liu
;
David Fong
;
Gregory A. Dahlen
;
Marc Osborn
;
Sean H
;
Jason R. Osborne
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
AFM;
carbon nanotube;
critical dimension;
metrology;
tip shape reconstruction;
tip wear;
41.
Bossung Curves; an old technique with a new twist for sub-90 nm nodes
机译:
Bossung曲线;低于90 nm节点的具有新扭曲的旧技术
作者:
Terrence E. Zavecz
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
bossung;
best focus;
dose;
APC;
dose mapping;
IsoFocal;
exposure;
CD control;
lithography;
OPC validation;
spatial model;
scatterometry;
42.
Comparison of I-line and DUV high energy implant litho processes
机译:
I线和DUV高能植入光刻工艺的比较
作者:
A. G. Grpierre
;
C. Berger
;
U. P. Schroeder
;
R. Schiwon
;
M. Kubis
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
I-line;
DUV;
implant;
footing;
shadowing;
proximity effect;
OPC;
43.
Characterization and Applications of an In-Scanner Aerial Image Detection System
机译:
扫描仪航拍图像检测系统的表征与应用
作者:
S. Hunsche
;
M. J. Gassner
;
J. A. Schefske
;
E. R. Kent
;
A. Acheta
会议名称:
《》
|
2006年
关键词:
aerial image;
in-situ inspection;
sensor wafer;
scanner matching;
mask verification;
aberration;
44.
The Effect of Transmission Reduction by Reticle Haze Formation
机译:
十字线薄雾形成对减少传输的影响
作者:
Sung-Jin Kim
;
Jai-Sun Kyoung
;
Jin-Back Park
;
Young-Hoon Kim
;
Seung-Wook Park
;
Il-Sin An
;
Hye-Keun Oh
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
haze defect;
spectroscopic ellipsometry;
thickness;
193 nm excimer laser;
45.
Study of Critical Dimension and Overlay Measurement Methodology Using SEM Image Analysis for Process Control
机译:
使用SEM图像分析进行过程控制的临界尺寸和覆盖测量方法的研究
作者:
Tae Yong Lee
;
Byoung Ho Lee
;
Soo Bok Chin
;
Young Sun Cho
;
Jun Sik Hong
;
Jong Seo Hong
;
Chang Lyong Song
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
pattern alignment;
overlay;
CD-SEM;
46.
Probabilistic Calibration of a Simple Resist Model for Simulation-Based Scoring of Mask Defects
机译:
基于模拟的掩膜缺陷评分的简单抵抗模型的概率校准
作者:
Gerard T. Luk-Pat
;
Raghava V. Kondepudy
;
Robert Du
;
Ray E. Morgan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
mask dispositioning;
simulation-based scoring;
resist-model calibration;
probabilistic calibration;
47.
Printability Study With Polarisation Capable AIMS™ fab 193i To Study Polarisation Effects
机译:
具有偏光功能的AIMS™fab 193i可印刷性研究,可研究偏光效果
作者:
Axel Zibold
;
Ulrich Stroessner
;
rew Ridley
;
Thomas Scheruebl
;
Norbert Rosenkranz
;
Wolfgang Harnisch
;
Eric Poortinga
;
Rainer Schmid
;
Joost Bekaert
;
Vicky Philipsen
;
Lieve Van Look
;
Peter Leunissen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
aerial image;
AIMS;
immersion;
polarisation;
numerical aperture;
hyper NA;
vector effects;
48.
Robust Defect Detection Method Using Reference Image Averaging for High Throughput SEM Wafer Pattern Inspection System
机译:
高通量SEM晶圆图检查系统中使用参考图像求平均值的鲁棒缺陷检测方法
作者:
Hirohito Okuda
;
Takashi Hiroi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
pattern inspection;
image processing;
SEM;
signal-to-noise ratio;
49.
Real-time aerial images based mask inspection, Die-to-Wafer Image inspection
机译:
基于口罩的实时航拍图像,晶片模图像检测
作者:
Akira Takada
;
Toru Tojo
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
mask;
defect;
inspection;
defect detection sensitivity;
algorithm;
mask image;
wafer image;
aerial image;
perturbation approach;
PSM;
OPC;
50.
Satellite spot defect reduction on 193nm Contact Hole lithography using Photo Cell Monitor methodology
机译:
使用Photo Cell Monitor方法在193nm接触孔光刻技术上减少卫星斑点缺陷
作者:
Caroline Boulenger
;
Jean-Luc Caze
;
Mihaela Mihet
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
193nm lithography;
post dev defect;
satellite spot;
polymer blob;
PCM;
top anti reflective coating;
ADI;
KLA-tencor 2351;
51.
Spectroscopic Polarized Scatterometry applied to single line profiling
机译:
光谱偏振散射法应用于单线分析
作者:
Jean-Louis Stehle
;
Jean-Philippe Piel
;
Jose Campillo
;
Dorian Zahorski
;
Hugues Giovannini
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
lithography;
scatterometry;
spectroscopic- ellipsometry;
isolated line;
52.
Statistical analysis of CD SEM measurement and process control in the indistinguishable multi-process patterns
机译:
难以区分的多过程模式下CD SEM测量和过程控制的统计分析
作者:
Duck-Sun Yang
;
Myung-Ho Jung
;
Young-Mi Lee
;
Cha-Won Koh
;
Gi-Sung Yeo
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Joo-Tae Moon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
indistinguishable pattern;
CD;
CD difference;
total variance;
53.
The study to enhance the accuracy of FIB repair on mask pattern of DRAM
机译:
增强FIB修复DRAM掩模图案精度的研究。
作者:
Yongkyoo Choi
;
Heecheon Kim
;
Sangchul Kim
;
Oscar Han
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
ArF HT PSM;
FIB;
nonlinear diffusion filter;
defect;
extraction;
image enhancement;
review;
54.
Integrated Projecting Optics Tester for Inspection of Immersion ArF Scanner
机译:
集成式投影光学测试仪,用于浸入式ArF扫描仪检查
作者:
Toru Fujii
;
Kosuke Suzuki
;
Yasushi Mizuno
;
Naonori Kita
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
wavefront measurement;
polarization measurement;
pupil fill;
55.
Improved Profile Measurement Accuracy Via Feed-Forward Spectroscopic Ellipsometry
机译:
通过前馈光谱椭偏仪提高轮廓测量精度
作者:
Robert M. Peters
;
Suresh Lakkapragada
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
scatterometry;
spectroscopic ellipsometry;
critical dimension (CD);
metrology;
56.
New measuring technique of complex index of immersion liquids
机译:
浸没液体综合指数的测量新技术
作者:
Jean-Louis Stehle
;
Jean-Philippe Piel
;
Jose Campillo-Carreto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
lithography;
immersion;
liquid;
index;
diffraction;
57.
Metrology delay time reduction in lithography via small-lot wafer transport
机译:
通过小批量晶圆传输减少光刻的计量延迟时间
作者:
Vinay K. Shah
;
Eric A. Englhardt
;
Sushant Koshti
;
Helen R. Armer
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
metrology delay time;
litho cell cycle time;
send-ahead wafers;
integrated metrology;
AMHS;
small lot manufacturing;
58.
Modeling of Linewidth Measurement in SEMs Using Advanced Monte Carlo Software
机译:
使用先进的蒙特卡洛软件对SEM中的线宽测量建模
作者:
S. Babin
;
S. Borisov
;
A. Ivanchikov
;
I. Ruzavin
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
59.
Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process
机译:
在掩模制造过程的多个阶段进行关键尺寸的光学测量
作者:
John C. Lam
;
Alexer Gray
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
optical metrology;
critical dimension;
broadband reflectometry;
forouhi-bloomer dispersion equations;
RCWA;
CD uniformity;
CD linearity;
60.
Open-loop Measurement of Data Sampling Point for SPM
机译:
SPM数据采样点的开环测量
作者:
Yueyu Wang
;
Xuezeng Zhao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
SPM;
piezo;
scanner;
open-loop measurement;
sensor;
61.
Overlay Improvement by Non-linear Error Correction and Non-linear Error Control by APC
机译:
通过APC进行非线性误差校正和非线性误差控制来改善覆盖
作者:
Dongsub Choi
;
reas Jahnke
;
Karl Schumacher
;
Max Hoepfl
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
overlay;
APC;
non-linear alignment;
residual;
GCM;
grid error;
62.
Feedback Model Evaluation of High-mix Product Manufacturing
机译:
高混合产品制造的反馈模型评估
作者:
Dion King
;
Mingjen Cheng
;
Aho Lu
;
Zhibaio Mao
;
Curtis Liang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
advanced process control;
APC;
SCMAC;
neural network;
EWMA;
high-mix product;
63.
Imaging simulations of optimized overlay marks with deep sub-resolution features
机译:
具有深亚分辨率功能的优化叠加标记的成像模拟
作者:
Daniel Kel
;
Michael E. Adel
;
Aviv Frommer
;
Vladimir Levinski
;
Alexra Rapoport
;
Richard M. Silver
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
overlay;
imaging;
sub-resolution;
contrast;
information content;
64.
From Speculation to Specification: A Discussion on How to Define the Tolerance of LER/LWR and its Measurement Methodology
机译:
从推测到规范:关于如何定义LER / LWR的公差及其测量方法的讨论
作者:
Atsuko Yamaguchi
;
Robert Steffen
;
Hiroki Kawada
;
Takashi Iizumi
;
Aritoshi Sugimoto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
65.
Image-based Nano-scale Dimensional Metrology
机译:
基于图像的纳米尺度计量学
作者:
An-Shun Liu
;
Yi-Sha Ku
;
Nigel Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
through focus;
focus metrics;
defocus;
critical dimension;
standard deviation;
66.
High-resistance W-plug Monitoring with an Advance e-beam Inspection System
机译:
借助先进的电子束检查系统进行高电阻W插头监控
作者:
Hermes Liu
;
J H Yeh
;
Chan Lon Yang
;
S C Lei
;
J Y Kao
;
Y D Yang
;
Mingsheng Tsai
;
S F Tzou
;
Wei-Yih Wu
;
Hong-Chi Wu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
67.
Integrated Electrostatic Micro-Sensors for the Development of Modeling Techniques of Defects in the Actuation of Large Micro-electromechanical Systems (MEMS)
机译:
集成静电微传感器,用于开发大型微机电系统(MEMS)的缺陷建模技术
作者:
Timothy Reissman
;
Ephrahim Garcia
;
Nicolae Lobontiu
;
Yoonsu Nam
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
motion amplification;
stiffness;
flexures;
capacitance sensing;
68.
Influence of Electron Incident Angle Distribution on CD-SEM Linewidth Measurements
机译:
电子入射角分布对CD-SEM线宽测量的影响
作者:
Maki Tanaka
;
Chie Shishido
;
Hiroki Kawada
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
CD-SEM;
model-based library;
focused electron beam model;
electron incident angle;
measurement error;
69.
ArF Scanner Performance Improvement by Using Track Integrated CD Optimization
机译:
通过使用Track Integrated CD优化来提高ArF扫描仪性能
作者:
Jacky Huang
;
Shinn-Sheng Yu
;
Chih-Ming Ke
;
Timothy Wu
;
Yu-Hsi Wang
;
Tsai-Sheng Gau
;
Dennis Wang
;
Allen Li
;
Wenge Yang
;
Araki Kaoru
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
70.
Application of Nano-tips to Cold Field Emission CD-SEM
机译:
纳米尖端在冷场发射CD-SEM中的应用
作者:
ras E. Vladar
;
Zsolt Radi
;
Michael T. Postek
;
Premsagar P. Kavuri
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
nano-tip;
electron gun;
scanning electron microscope;
metrology;
SEM;
scanning electron microscopy;
resolution;
CD-SEM;
71.
ArF photo resist pattern sample preparation method using FIB without protective coating
机译:
使用无保护涂层的fib的arf光刻胶图案样品制备方法
作者:
Hirohisa Okushima
;
Toshihiko Onozuka
;
Yasushi Kuroda
;
Toshie Yaguchi
;
Kaoru Umemura
;
Ryuichiro Tamochi
;
Kenji Watanabe
;
Norio Hasegawa
;
Isao Kawata
;
Bart Rijpers
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
ArF photo resist;
micro-sampling method;
focused ion beam (FIB);
scanning transmission electron microscopy (STEM);
FIB-STEM compatible sample rotation holder;
Si cap;
critical dimension (CD);
cross-sectional view;
72.
Application of scatterometry to measure organic material profile
机译:
散射法在测量有机材料轮廓中的应用
作者:
Sunyoung Koo
;
Keundo Ban
;
Chang-moon Lim
;
Cheolkyu Bok
;
Seung-Chan Moon
;
Jinwoong Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
OCD(optical CD metrology);
optical scatterometry;
dose-mapper, and ArF lithography;
73.
An Integrated Approach to the Determination of a Manufacturable Process Window in Advanced Microlithography
机译:
确定高级微光刻中可制造工艺窗口的一种综合方法
作者:
Marcelo Cusacovich
;
John C. Robinson
;
Shaunee Cheng
;
Greet Storms
;
Philippe Leray
;
Gian F. Lorusso
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
CD SEM;
scatterometry;
optical critical dimension;
focus;
exposure;
FEM;
process window;
74.
An Integrated Solution for Photomask Manufacturing, Handling and Storage at 65nm and Below
机译:
适用于65nm及以下波长的光掩模制造,处理和存储的集成解决方案
作者:
Joerg Schwitzgebel
;
Guangming Xiao
;
Barry Rockwell
;
Sammy Nozaki
;
Ali Darvish
;
Chris Wu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
photomasks;
blank inspection;
SMIF integration;
process integration;
automation;
75.
Advanced CDSEM matching methodology for OPC litho cell based matching verification
机译:
先进的CDSEM匹配方法用于基于OPC光刻细胞的匹配验证
作者:
Ofer Adan
;
Hugo Cramer
;
Erik Van Brederode
;
Robert Schreutelkamp
;
Ilan Englard
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
matching;
OPC;
CD metrology;
SPC;
through-pitch;
litho-cell;
sampling patterns;
76.
An Advanced AFM Sensor for High-Aspect Ratio Pattern Profile In-line Measurement
机译:
用于高纵横比图案轮廓在线测量的高级AFM传感器
作者:
Masahiro Watanabe
;
Shuichi Baba
;
Toshihiko Nakata
;
Toru Kurenuma
;
Hiroshi Kuroda
;
Takenori Hiroki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
AFM;
depth;
in-line;
profile;
high aspect ratio;
77.
3D Isolated and Periodic Grooves Measurement Simulations for the Semiconductor Circuits by Scatterometry Using the FDTD Methods and the Time Shortening Calculation Method
机译:
使用FDTD方法和时间缩短计算方法通过散射法对半导体电路进行3D隔离和周期性沟槽测量的仿真
作者:
Hirokimi Shirasaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
scatterometry;
semiconductor;
silicon;
trench measurements;
gaussian beam;
ADI-FDTD method;
78.
Bias-Free Measurement of LER/LWR with Low Damage by CD-SEM
机译:
通过CD-SEM对LER / LWR进行无偏差测量且损伤小
作者:
Atsuko Yamaguchi
;
Robert Steffen
;
Hiroki Kawada
;
Takashi Iizumi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
79.
Bias reduction in roughness measurement through SEM noise removal
机译:
通过去除SEM噪声减少粗糙度测量的偏差
作者:
R.Katz
;
C.D.Chase
;
R.Kris
;
R.Peltinov
;
J.Villarrubia
;
B.Bunday
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
line edge roughness (LER);
linewidth roughness (LWR);
SEM metrology;
roughness measurements;
unbiased estimation;
noise removal;
80.
Characterizing Optical Proximity Effect Difference Among Exposure Tools
机译:
表征曝光工具之间的光学邻近效应差异
作者:
Jongkyun Hong
;
Jeonkyu Lee
;
Eunsuk Kang
;
Hyunjo Yang
;
Donggyu Yim
;
Jinwoong Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
OPE(optical proximity effect);
ID(isolated and dense line pattern) bias;
projection lens aberration;
NA(numerical aperture);
illumination;
81.
Critical Dimension Variations of I-Line Processes due to Swing Effects
机译:
由于摆动效应,I线工艺的关键尺寸变化
作者:
C. Berger
;
R. Schiwon
;
S. Trepte
;
M. Friedrich
;
M. Kubis
;
J. Horst
;
A.G. Grpierre
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
I-line;
CD control structure;
swing effect;
CD mean;
CD range;
82.
Contact-Area Metrology of Magnetic Tunneling Junction Structures
机译:
磁性隧道结结构的接触面积计量
作者:
Tom Zhong
;
Daniel Liu
;
Amit Moran
;
Michael Levkovitch
;
Michael Har-Zvi
;
Bob Burkhardt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
CD SEM;
contact area;
algorithm;
MRAM;
MTJ shape;
83.
Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection
机译:
使用先进的宏观检测技术将晶圆背面缺陷与光刻热点相关联
作者:
Alan Carlson
;
Tuan Le
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
backside;
defects;
photolithography;
hot spots;
macro inspection;
semiconductor;
process development;
84.
Effect of grating pitch variation on scatterometry measurements
机译:
光栅间距变化对散射测量的影响
作者:
Darren Forman
;
Mike Littau
;
Christopher J. Raymond
;
Steven G. Hummel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
scatterometry;
grating;
pitch;
period;
CD;
error;
uncertainty;
metrology;
85.
Error Factor in Bottom CD Measurement for Contact Hole using CD-SEM
机译:
使用CD-SEM测量接触孔底部CD的误差因子
作者:
Hideaki Abe
;
Yuichiro Yamazaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
contact hole;
bottom CD;
accuracy;
tool precision;
CD bias;
86.
EUV Wavefront Metrology at EUVA
机译:
EUVA的EUV Wavefront计量
作者:
Chidane Ouchi
;
Seima Kato
;
Masanobu Hasegawa
;
Takayuki Hasegawa
;
Hideo Yokota
;
Katsumi Sugisaki
;
Masashi Okada
;
Katsuhiko Murakami
;
Jun Saito
;
Masahito Niibe
;
Mitsuo Takeda
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XX pt.2》
|
2006年
关键词:
interferometer;
extreme ultraviolet lithography;
EUV;
at-wavelength;
PDI;
LSI;
意见反馈
回到顶部
回到首页